在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 33662|回复: 58

电子类词汇

[复制链接]
发表于 2008-1-16 12:33:42 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
电器电子词汇英语翻译(O-T)


odd harmonic 奇次谐波
ode 定向腐蚀剂
oeic 光电子集成电路
oerstedmeter 奥斯特计
off chip amplifier 片外放大器
off chip connection 芯片外连接
off chip resistor 片外电阻
off condition 截止状态
off contact 触点断开
off hook 摘机
off hook circuit 闭合电路
off line control 脱机控制
off line mode 脱机方式
off line storage 脱机存储器
off load voltage 开路电压
off position 开始状态
off resistance 关态电阻
off state 断开状态
off the shelf integrated circuit 现用集成电路
off time 关机时间
off transistor 截止晶体管
office busy hour 电话局忙时
office call 局内呼叫
office communication 局内通信
offset 偏置;偏置
offset carrier 偏离载波
offset gate fet 补偿栅场效应晶体管
offset subcarrier system 副载波偏置系统
og 或门
ohm 欧
ohmammeter 欧安表
ohmic bridge 电阻电桥
ohmic contact 欧姆接触
ohmic junction 欧姆接合
ohmic losses 欧姆损耗
ohmic metallization 欧姆接触金属化
ohmic resistance 欧姆电阻
ohmic short 欧姆短路
ohmmeter 欧姆计
oic 光集成电路
oil backstreaming 油汽返流
oil diffusion pump 油扩散泵
oil film 油膜
oil free pumping 无油排气
olb 外引线焊接
olfactronics 嗅觉电子学
omegatron 奥米伽器回旋质谱计
omni aerial 全向辐射天线
omnibearing distance facility 全方位测距设备
omnibearing indicator 方位自动指示器
omnidirectional aerial 全向辐射天线
omnidirectional antenna 全向天线
omnidirectional microphone 全方向传声器
omnidirectional radiation 全向辐射
omnidirectional range 全向无线电信标
omnidirective antenna 全向辐射天线
omnigraph 发送电报码的自动拍发器
on chip amplifier 片内放大器
on chip components 片内元件
on chip integration 片内集成化
on chip interconnection 片内互连
on chip redundancy 片内冗余
on chip resistor 片内电阻
on chip trimming 片内校正
on condition 接通条件
on hook 柱机
on line mode 联机方式
on line process control 联机处理控制
on off control 开关控制
on position 闭合位置
on resistance 导通电阻
on state 导通状态
on time 接通时间
on transistor 通导晶体管
on wafer chip test 薄片内芯片检测
one board microcomputer 单板微计算机
one chip amplifier 单片放大器
one chip integrated circuit 单片集成电路
one chip microprocessor 单片微处理机
one chipper 单片微处理机
one device cell 单晶体管单元
one layer metallization 单层金属化
one level metallization 单层金属化
one output 1输出
one pass gain 单级增益
one shot multivibrator 冲息多谐振荡器
one signal 1信号
one state 1状态
one step diffusion 单步扩散
one step reduction 单步缩小
one t cell 单晶体管单元
one to one projection system 一比一投影曝光装置
one to one wafer imaging 一比一薄片成像
one to zero ratio 一与零比
one transistor memory 单晶体管单元存储器
one way rectifier 半波整流
onset of arcing 电弧发生
opacity 不透萌
opaque defect 透帽陷
opaque layer 不透勉
opaque liquid 不透煤体
opaque mirror 不透玫
opaque photocathode 不透免电阴极
opaque plasma 不透萌离子体
opaque region 不透螟
opaque spot 不透眠点
open 开路
open antenna 室外天线
open circuit voltage 开路电压
open emitter 开路发射极
open resonator 开端式共振腔
open tube deposition 开管淀积
open tube diffusion 开管扩散
open tube diffusion system 开管扩散装置
open tube dry oxidation 开管干氧化
open tube poly 开管法制造的多晶硅
open tube steam oxidation 开管水蒸气氧化
open tube system 开管扩散系统
opening 开口
operating characteristic 工棕性
operating frequency 工椎率
operating mode 工捉式
operating point 工足
operating position 闭合位置
operating range 操锥围
operating range limit 极限酌范围
operating speed 工踪度
operating temperature range 工茁度范围
operating voltage 工诅压
operating wavelength 工专长
operation 运算
operation life 工踪命
operation position 操专
operational amplifier 运算放大器
operational mode 工捉式
operational reliability 工咨靠性
operator 话务员
operator's telephone set 话务员用送受话器
opposing connection 对绕
opposite polarity 相反极性
opposite spin orientation 自旋相反取向
optical absorption 光学吸收
optical aligner 光学对准器
optical alignment 光学对准
optical altimeter 光学高度计
optical amplifier 光放大器
optical cable 光缆
optical calculating machine 光计算机
optical checking 光学检验
optical communication 光通信
optical communication receiver 光通信接收机
optical computer 光计算机
optical computing system 光计算系统
optical coupler 光耦合器
optical coupling 光耦合
optical crosstalk 串光
optical damage 光学损伤
optical deflector 光偏转器
optical depth 光学深度
optical detection 光学探测
optical distance 光程
optical echo 光回波
optical erasure 光学消除
optical excitation 光激励
optical exposure 光学曝光
optical fiber 光导纤维
optical fiber brancher 光学纤维分流
optical fiber connection method 光学纤维连接法
optical fiber furcation 光学纤维分歧
optical fiber imperfection 光学纤维不完全性
optical fiber transmission 光学纤维传输
optical finish 抛光
optical heterodyne receiver 光外差接收机
optical heterodyning 光外差酌
optical heterogenity 光学不均匀性
optical hologram 光学全息图
optical homodyne receiver 光学零差接收机
optical homodyning 光零差检波
optical identification 光学识别
optical image repeater 光学步进重复照相机
optical imaging 光学成象
optical information processing 光学信息处理
optical inhomogeneity 光学不均匀性
optical integrated circuit 光集成电路
optical integrated circuit fabrication 光集成电路制造
optical isolator 光频隔离器
optical length 光学长度
optical lithographic resolution 光蚀刻清晰度
optical lithogrpahy 光蚀刻
optical location 光学测位
optical logic 光学逻辑电路
optical mask 光掩模
optical mask stepper 光学步进重复照相机
optical masking 光掩蔽
optical measurement 光学测量
optical memory 光存储器
optical modulator 光灯器
optical noise immunity 光抗扰度
optical noise origin 光学噪声源
optical overlay method 光学叠加法
optical path difference 光程差
optical path length 光程长度
optical pattern 光学图像
optical pattern generator 光学图像发生器
optical pattern transfer 光学图样转移
optical patterning 光刻成像
optical printer 光学晒片机
optical printing 光蚀刻
optical processor 光处理机
optical projection lithography 光学投影蚀刻
optical projection master 光学投影原版
optical pumping 光泵激
optical radar 光雷达
optical range 直视距离
optical ranger 光学测距仪
optical read only storage 光学只读存储器
optical reader 光扫描器
optical receiver 光接收器
optical reciprocity 光可逆性
optical recognition 光识别
optical recording 光记录
optical recording density 光记录密度
optical recording head 光记录头
optical resist 光刻胶
optical resonator cavity 光空腔谐振器
optical scanner 光扫描器
optical simulation 光学模拟
optical solid circuit 光集成电路
optical spectroscopy 光谱学
optical step and repeat camera 光学步进重复照相机
optical stepper lithography 步进式曝光蚀刻
optical superheterodyne receiver 光学超外差接收器
optical superheterodyning 光学超外差酌
optical switch 光开关
optical tachometer 光学转速计
optical tracking instrument 光跟踪仪器
optical transmission 光传输
optical transparency 光透萌
optical trigger 光电触发器
optical visibility 光学可见度
optical wafer stepper 步进式晶片曝光机
optical wafer stepping 步进式晶片曝光
optical waveguide 光波导管
optical waveguide coupler 光波导管耦合器
optical waveguide loss 光波导管损耗
optically aimed weapon 光学制导武器
optically coupled cavities 光学耦合谐振器
optically flat wafer 光学平面薄片
optically homogeneous layer 光学均匀层
optimal receiver 最佳接收机
optimal solar battery 最佳太阳电池
optimizing 最佳化
optimum bunching 最隹聚束
optimum packing 最隹聚束
optimum scale integration 最佳规模集成
optimum working frequency 最佳工椎率
optimun focusing 最佳聚焦
option design 选择设计
opto chip 光电子芯片
optoacoustic microscope 光 声学显微镜
optocoupler 光耦合器
optocoupler semiconductor device 光耦合半导体掐
optoelectronic device 光电子掐
optoelectronic integrated circuit 光电子集成电路
optoelectronic isolator 光电隔离器
optoelectronic semiconductor 光电子半导体
optoelectronic sensor 光电子传感器
optoelectronic switch 光电子开关
optoelectronic transistor 光电子晶体管
optoelectronics 光电子学
optoisolator 光隔离器
optophon 臭波多风
optotransistor 光晶体管
optron 光导发光元件
optronics 光电子学
or circuit 或电路
or gate 或门
orbit 轨道
orbital electron 轨道电子
orbital repeater 轨道中继站
orbital stability 轨道稳定性
order wire 传号线
ordered arrangement 有序排列
ordinary beam 普通射线
ordinary call 普通呼叫
ordinary ray 普通射线
ordinary wave 寻常波
organic based resist 有机基质光刻胶
organic binder 有机粘结剂
organic dye laser 有机染料激光器
organic electronic element 有机电子元件
organic fill 有机填料
organic liguid laser 有机染料激光器
organic liquid 有机液体
organic resist stripper 有机抗蚀剂去除装置
organic semiconductor 有机半导体
organization 组织
organometallic compound 有机金属化合物
organosilicon material 有机硅材料
orientation 晶向
orientation dependent etch 定向腐蚀剂
oriented crystallization 取向结晶酌
oriented growth 取向生长
oriented nucleation 定向成核
original artwork 掩模原图
oros 光学只读存储器
orthicon 正析像管
orthogonal scanning 正交扫描
oscillating klystron 振荡速弟
oscillation excitation 振荡激励
oscillation linewidth 振荡线宽
oscillation mode 振荡模
oscillation period 振荡周期
oscillation synchronization 振荡同步
oscillations 振动
oscillator 振荡器
oscillator circuit 振荡歧路
oscillator frequency 振荡频率
oscillator klystron 振荡速弟
oscillator triode 振荡讫极管
oscillator tube 振荡管
oscillator valve 振荡管
oscillatory circuit 振荡电路
oscillatory scanning 摆动扫描
oscillatory stability 振荡稳定性
oscillogram 示波图
oscillograph 示波器
oscillograph tube 示波管
oscillograph with electronic commutator 电子转换式示波器
oscillographic impedancemeter 示波阻抗计
oscillographic measurement 示波测量
oscilloscope 示波器
osi 最佳规模集成
out of contact exposure 无接触曝光
out of contact imaging 无接触成象
out of contact printing 无接触投影曝光
out of tolerance wafer 超出公差薄片
outdiffusion 向外扩散
outdoor antenna 室外天线
outer lead bonder 外引线焊接机
outer lead bonding 外引线焊接
outer mirror 外部镜
outer shell 外层
outer shell electron 外层电子
outermost orbit 最外层轨道
outfit 成套备用工具
outgassing 脱气
outgoing call 出话呼叫
outgoing trunk 去中继线
outlet 出口
outline 外形
output 输出
output admittance 输出导纳
output attenuator 输出衰减器
output capability 输出能力
output capacitance 输出电容
output filter 输出滤波器
output gap 输出隙
output impedance 输出阻抗
output loading factor 扇出端输数
output mirror 输出镜
output mirror transmission 输出镜透射
output monitor 输出监视器
output pentode 输出五极管
output pin 输出引线
output power 输出功率
output reflector 输出反射镜
output resistance 输出电阻
output resonator 收注栅
output signal 输出信号
output stability 输出参数稳定性
output stage 输出级
output transformer 输出变压器
output triode 输出三极管
output tube 输出管
output unit 输出设备
output value 输出值
oval cathode 卵形端面阴极
oven baking 烘炉退火
over frequency protection 过频率保护装置
overall dimensions 总尺寸
overall gain 总放大系数
overbunching 过聚束
overcharge 过充电
overcoat 复盖层
overcompensation 过补偿
overcomplexity 超集成度
overcurrent 过载电流
overdeveloping 显影过度
overdoping 过掺杂
overdriven amplifier 过压状态的放大器
overetching 过腐蚀
overexposure 过曝光
overhaul life 大修寿命
overhearing 串话
overlaid cmos 多层互补金属氧化物半导体
overlap 中性区
overlap region 重叠区
overlapping channels 交迭通道
overlapping gate ccd 迭加栅电荷耦合掐
overlay registration 迭置重合
overlay transistor 覆盖式晶体管
overlayer 重迭层
overload 超负荷
overload current 过载电流
overmodulation 过灯
overrunning 超过额定界限
oversaturation 过饱和
overshoot 过冲
overswing 过冲
overtone 泛音
overvoltage 过电压
ovonic memory 奥氏存储器
ovshinsky effect 奥维辛斯基效应
oxidant 氧化剂
oxidation 氧化
oxidation ambient 氧化气氛
oxidation barrier film 抗氧化薄膜
oxidation coating 氧化装置
oxidation furnace 氧化炉
oxidation mask 氧化用掩模
oxidation reaction 氧化反应
oxidation tube 氧化用管
oxidative stability 抗氧化性
oxide 氧化物
oxide aligned transistor technology 氧化物对准晶体管工艺
oxide cathode 氧化物阴极
oxide coated cathode 氧化物阴极
oxide densification 氧化物致密化
oxide dielectric 氧化物电介质
oxide encroachment 氧化物底部侵蚀
oxide etch 氧化物腐蚀
oxide film 氧化膜
oxide growth 氧化物生长
oxide isolated integrated circuit 氧化物隔离集成电路
oxide isolated island 氧化物隔离岛
oxide isolated monolithic technology 氧化物隔离单片集成电路技术
oxide isolated process 氧化物隔离工艺
oxide isolation 氧化物隔离工艺
oxide isolation trench 氧化物隔离槽
oxide masked diffusion 氧化物掩蔽扩散
oxide masking 氧化物掩蔽
oxide nitride masking 氧化物 氮化物掩蔽
oxide overetching 氧化膜过腐蚀
oxide overhang 氧化物突出部分
oxide passivation 氧化物钝化法
oxide pattern 氧化层图案
oxide pinhole 氧化膜针孔
oxide plasma 氧化物形成用等离子体
oxide reactor 等离子体氧化反应器
oxide resist method 氧化物掩蔽法
oxide step 氧化物阶梯
oxide walled base 侧壁氧化基极
oxide walled emitter 侧壁氧化发射极
oxide window 氧化层窗孔
oxidizer 氧化剂
oxidizing agent 氧化剂
oxidizing environment 氧化气氛
oxygen 氧
oxygen atmosphere seal 氧气氛里封装
oxygen gas plasma stripping 氧等离子体去胶
oxygen impermeable mask 不透氧掩模
oxygen implanter 氧离子注入器
oxygen meter 量氧计
oxygen monitoring 氧含量控制
oxygen precipitation 氧沉淀
oxynitride film 氮氧化合物薄膜
ozone induced scumming 臭氧感生未显影光刻胶形成
p channel fet p 沟道场效应晶体管
p channel metal oxide semiconductor transistor p 沟道金属氧化物半导体晶体管
p channel mos p 型沟道金属氧化物半导体
p contact p 型接触
p diffused region p 型扩散区
p dopant p 型掺杂剂
p dopant modifier p 型掺杂剂
p doped drain p 型掺杂漏极
p doped semiconductor p 型半导体
p doped source p 型掺杂源极
p epi p 型外延层
p i n diode pin二极管
p i n diode phase shifter p i n 二极管移相器
p i n photodiode p i n 光电二极管
p n junction p n 结
p n junction isolation p n 结隔离
p n junction rectification p n 结型整流
p type conduction p 型导电性
p type diffusion p 型扩散
p type dopant p 型掺杂剂
p type doping p 型掺杂
p type semiconductor p 型半导体
p well diffusion p 阱形成扩散
p well mask p 阱形成掩膜
p+ guard ring p+ 型保护环
pack 部件
pack carrier television station 便携式电视发射机
package 外壳
package assembly 外壳组装
package base 外壳基座
package closure 管壳密封
package header 外壳基座
package inductance 管壳电感
package lead 外壳引线
packaged chip 封装的集成电路
packaged integrated circuit 封装的集成电路
packaged tube 成套管
packageless integrated microcircuit 无封装集成微型电路
packaging 封装
packaging density 组装密度
packaging hardware 封装装置
packaging socket 封装用底座
packaging system 封装装置
packet 包
packet communication 包通信
packet network 包交换网络
packet switched network 包交换网络
packet switching 包交换
packet transmission 包传输
packet transmission system 包传输系统
packing 包装
packing density 组装密度
pad 焊径
pad layer 焊接层
pad registration 焊接点重合
pager 页面等程序
pair 电偶
pair creation 电子 空穴对产生
pair production 电子 空穴对产生
paired echo 对回波
pal 可编程序逻辑阵列
palladium silver conductor system 钯 银导体系统
pam 脉冲幅度灯
pancake package 偏平管壳
panel 板
panel mounting 面板装配
panelectronics 泛电子学
panoramic receiver 扫涤收机
pantelegraphy 传真电报学
pantograph 比例画器
parabolic index optical fiber 抛物线折射率剖面光纤维
parabolic mirror 抛物柱面镜
parabolic reflector 抛物面反射器
parabolic reflector antenna 抛物面反射体天线
parabolically graded optical fiber 抛物线折射率剖面光纤维
paracrystal 不完全晶体
parallel beam 平行光束
parallel feed 井联馈电
parallel gap weld 平行间隙式焊接
parallel interface 并行接口
parallel light beam 平行光束
parallel mirror cavity 平行镜空腔
parallel operation 并行操作
parallel plate electron multiplier 平行板电极式电子倍增管
parallel plate plasma etcher 平行板等离子体腐蚀装置
parallel resonance 并联谐振
parallel transfer ccd 并行转移电荷耦合掐
parametric amplification 参量放大
parametric amplifier 参量放大器
parametric gain 参量放大
parametric interaction 参数相互酌
parametric laser 参量激光器
parametric oscillator 参量振荡器
parametric pumping 参数抽运
parametric resonance 参量谐振
paraphase amplifier 倒相放大器
parasite frequency modulation 寄生档
parasitic action 寄生酌
parasitic capacitance 寄生电容
parasitic element 寄生元件
parasitic oscillation 寄生振荡
parasitic oscillation suppression 寄生振荡抑制
parasitic oscillations 寄生振荡
parent material 母体材料
part 元件
part reliability 部件可靠性
partial compatibility 部分兼容性
partial dislocation 局部位错
partial pressure 分压
partial pressure analyzer 分压分析器
partial pressure gage 分压分析器
partially reflecting mirror 部分反射镜
partially transparent mirror 部分透玫
particle 粒子
particle accelerator 粒子加速器
particle beam technology 粒子束技术
particle bunching 粒子聚束
particles flux 粒子流
particles separation 粒子分离
particles storage 粒子存储
particulate contamination 微粒子污染
parts per billion 十亿分之几
parts per million 百万分之
parts per trillion 兆分之
party 群
party line 合用线
party line system 同线电话制
passband 通频带
passivant 钝化剂
passivated surface 钝化表面
passivating coating 钝化涂层
passivating layer 钝化层
passivation 钝化
passivation etching 钝化层腐蚀
passive antenna 无源天线
passive component 无源元件
passive element 无源元件
passive homing 被动导航
passive isolation 钝态隔离
passive q switch 无源 q 开关
passive q switching 无源祋
passive repeater 无源中继器
passive retransmission 无源转播
passive satellite repeater 无源卫星转发器
passively mode locked laser 无源锁模激光器
paste composition 膏成分
paste system 膏系统
path 路程
path length 路程长度
path loss 路径损耗
path of propagation 传播路径
pattern 光栅;图样
pattern alignment 图形对准
pattern array 图像阵列
pattern blurring 图像模糊
pattern definition 图案形成
pattern element 图形单元
pattern fabrication 图像形成
pattern formation 图形生成
pattern generation 图形生成
pattern generator 测视图案信号发生器;图形发生器
pattern identification 图形识别
pattern mask 图像掩模
pattern matrix 图像阵列
pattern repeater 步进重复照相设备
pattern replication 图像重复
pattern writer 绘图机
patterned film 有图膜
patterning 图案形成
patterning exposure 图像形成曝光
pbx 专用小交换机
pc 印刷电路板
pcb 印刷电路板
pcb insertion 印刷电路板装配
pci 多晶硅隔离
pcm 脉码灯
pcm exchange 脉码灯电话交换局
pcm signal 脉码灯信号
pdm 脉冲持续时间灯
pdp 等离子体显示板
peak 最大值
peak current density 最大电淋度
peak factor 峰值因数
peak output power 最大输出功率
peak power density 峰值功率密度
peak power output adjustment 最大输出功率蝶
peak pulse amplitude 峰值脉冲振幅
peak sideband power 峰值边带功率
peak signal 峰值信号
peaker 微分电路
pedestal chip 凸点焊盘型芯片
pel 像素
pellet part 片状掐
pellicle 薄膜
pellicle reticle 薄膜中间掩模
peltier effect cooler 珀耳帖效应冷却器
pencil beam antenna 锐锥形射束天线
pencil tube 笔形管
penetrability 穿透能力
penetrating power 穿透能力
penIOTron 超高频放大管
penning discharge 潘宁放电
pentagrid 五栅管
pentagrid converter 五栅管混频器五栅管变频器
pentode 五极管
penumbral blur 图像贸交界处模糊
per amplifier 前置放大器
per slice yield 薄片成品率
per unit area concentration 单位面积浓度
per unit volume concentration 单位体积浓度
percentage modulation 灯度
percentage modulation meter 灯度测试器
perfect dislocation 完全位错
perfect lattice 理想晶格
performance 性能
performance characteristic 动棕性
performance monitor 性能监视器
performeter 性能监视器
periodic aerial 党驻波天线
periodic antenna 党驻波天线
periodic waveguide 周期性波导管
peripheral blade 外圆型刀片
peripheral chip 周边集成电路
peripheral circuit 周边集成电路
peripheral device 外围掐
peripheral electron 外层电子
peripheral saw 外圆型锯片
permanent echo 固定目标的回波
permanent mask 固定掩模
permanent memory 固定存储器
permanent storage 9 固定存储器
permatron 磁场控制管
permeability 导磁率
permeability tuning 异磁系数党
permeable base 穿透性基极
permeameter 磁导计
permissible irradiation 允许照射
permissible orbit 允许轨道
permitted transition 容许跃迁
permittivity 介电常数
perpendicular magnetization 垂直磁化
persistence 余辉时间
persistence characteristic 余辉特性
persistency 持续性
persistent photoconductivity 残留光电导性
person to person call 定人呼叫
personal call 定人呼叫
perturbation 干扰
perveance 导电系数
pfet p 沟道场效应晶体管
pfm 脉冲频率灯
pga 管脚阵列
phantom circuit 约像电路
phase 相位
phase adjustment 相位蝶
phase advancer 进相器
phase angle 相位角
phase angle meter 相位角计
phase changer 变相器
phase coincidence 相位重合
phase comparator 相位比较器
phase compensator 相位补偿器
phase constant 波长常数
phase control 色爹
phase converter 相位变换器
phase corrector 相位补偿器
phase delay 相位滞后
phase detector 鉴相器检相器
phase detuning 相位失调
phase deviation 相位偏移
phase diagram 相图
phase difference 相位差
phase discriminator 鉴相器检相器
phase distortion 相位失真
phase distortion corrector 相位补偿器
phase equality 同相位
phase equalization 相位均衡
phase equalizer 相位均衡器
phase error 相位误差
phase error correction 相位误差校正
phase focusing 相位聚焦
phase frequency distortion 相位频率失真
phase front 相位波前
phase inversion 倒相
phase jitter 相位择动
phase lag 相位滞后
phase lock 锁柑
phase locked loop 锁相环路
phase locking 锁相
phase locking technique 锁相技术
phase mode locking 相位模式同步
phase modulated signal 掂信号
phase modulation 掂
phase modulator 相位灯器
phase of a charged particle 带电粒子相位
phase oscillations 相位振荡
phase plane 相位平面
phase precorrection 相位预校正
phase reference 相位基准
phase response 相位响应
phase sensitive detector 相敏检波器
phase shift 移相
phase shift keyed signal 相移键控信号
phase shift keying 相移键控
phase shifter 移相器
phase spectrum 相位谱
phase stability 相位稳定度
phase stabilization 相位稳定
phase synchronization 相位同步
phase transition 相位跃迁
phase uniformity 相位均匀性
phase variation 相转变
phase velocity 相位速度
phased antenna array 相控天线阵
phased array radar 相控天线阵雷达
phaser 帧同步机
phasing 相位蝶
phasing line 定相线
phasing signal 整相信号
phasometer 相位计
phenolic resin 酚醛尸
phono cartridge 拾音颇座
phonogram 话传电报
phonograph 留声机
phonon maser 声子微波激射器
phosphor 荧光体
phosphor doping 磷掺杂
phosphor dot 荧光点
phosphor screen 荧光屏
phosphorous diffusion source 磷扩散源
phosphorous doped oxide 掺磷氧化物
phosphorous emitter 掺磷发射极
phosphorous ion implant 磷离子注入
phosphorous semiconductor 掺磷半导体
phosphorus 磷
phosphosilicate glass 磷硅酸玻璃
photo artwork 光原图
photo darlington 光电晶体管达林顿电路
photo fet 光控场效应晶体管
photo field effect transistor 光控场效应晶体管
photo field emission 光场致发射
photo tool 光掩膜
photoacoustic effect 光声效应
photoactive electrode 光敏电极
photoanode 光电阳极
photoblank 掩模底版
photocapacitance 光电电容
photocapacitant detector 光电电容检测器
photocathode 光电阴极
photocathode travelling wave tube 光电阴极行波管
photocell 光电池
photochemical deposition 光化学淀积
photochemical effect 光化学效应
photochemical vapor deposition oxide 光化学汽相淀积氧化物
photocomposer 照相排字机
photocomposition 照相排字
photocomposition machine 照相排字机
photoconductive cell 光敏电阻器
photoconductive detector array 光电导探测企列
photoconductive element 光电导元件
photoconductive film 光导层
photoconductive layer 光导层
photoconductive target 光电导靶
photoconductivity 光电导性
photocoupled semiconductor device 光电耦合半导体掐
photocoupler 光电耦合器
photocoupling 光电耦合
photocurrent 光电流
photodeposition 光化学淀积
photodetector 光检测器
photodiode 光电二极管
photodiode array 光电二极管阵列
photodissociation 光致离解
photodissociation laser 光致离解激光器
photodissociative laser 光致离解激光器
photoelastic effect 光弹效应
photoelectret state 光驻极状态
photoelectric 光电的
photoelectric cartridge 光电式拾音头
photoelectric cell 光电池
photoelectric effect 光电效应
photoelectric emission 光电发射
photoelectric reader 光扫描器
photoelectric receptor 光电接收机
photoelectric scanner 光电扫描器
photoelectric signal voltage 光电信号电压
photoelectricity 光电;光电现象
photoelectrochemical cell 光电化学电池
photoelectrochemical etching 光电化学腐蚀
photoelectron 光电子
photoelectron spectroscopy 光电子光谱法
photoelectronics 光电子学
photoelectrophoretic image display device 光电泳图象显示掐
photoelelectronic device 光电子掐
photoelement 光电池
photoeletric memory device 光电存储掐
photoeletric storage device 光电存储掐
photoemission 光电发射
photoemission spectroscopy 光电子发射光谱学
photoemissive cell 光电发射元件
photoemitter 光致发射体
photoemulsion 照相乳胶
photoengraving 光刻蚀
photoetching 光刻蚀
photoexcitation 光激励
photoferroelectric 光敏铁电体
photoformer 阴极射线函数发性器;光电函数发生器
photographic mask 光掩膜
photoionization 光电离
photoionization laser 光致电离激光器
photolacquer mask 光致抗蚀剂掩模
photolayer 光敏层
photolithographic mask 光刻用掩模
photolithographic resolution 光刻蚀清晰度
photolithography 光刻法
photoluminescence 光致发光
photolysis 光分解
photolytic reduction 光解还原
photomagnetoelectric effect 光磁电效应
photomask 光掩膜
photomask copy 光掩模复印
photomask damage 光掩模损伤
photomask drawing 光掩模草图
photomask inspection 光掩模检查
photomask inspector 光掩模测试设备
photomask pattern 光掩模图形
photomask processor 光刻装置
photomask resolution 光掩模清晰度
photomask scrubber 光掩模洗涤器
photomasking 光刻法
photometer 光度计
photomicrograph 显微照片
photomicrography 显微照相术
photomultiplier 光电倍增管
photomultiplier tube 光电增倍管
photomultiplier with discrete dynodes 分离电极式光电倍增管
photon 光量子
photon amplification 光子僚大
photon counter 光子计数器
photon detector 光子探测器
photon echo 光量子回波
photon engine 光子发动机
photon preionization laser 光子预电离激光器
photon pumping 光子抽运
photopiezoelectric 光压电体
photoplate 照相底版
photoplotter 光电绘图仪
photopotentiometer 光电位计
photoprocessing 光刻法
photoreader 光电读出器
photoreceiver 光敏接收器
photoreceptor 光传感器
photoreduction 照相缩板
photorelay 光控继电器
photorepeater 照相复印机
photoresist 光致抗蚀剂
photoresist burnoff 等离子去胶
photoresist coater 涂胶器
photoresist controlled etch 光刻胶掩蔽控制腐蚀
photoresist developer 光刻胶显影剂
photoresist edge build up 硅片边缘上光刻胶的积累
photoresist etching 光刻胶掩蔽腐蚀
photoresist film 光刻胶膜
photoresist integrity 光刻胶完整性
photoresist lacquer 感光尸
photoresist lift off 光刻胶剥离
photoresist mask 光致抗蚀剂掩模
photoresist mask pattern 光致抗蚀剂掩模图形
photoresist masked etching 光刻胶掩蔽腐蚀
photoresist masking 光刻胶掩蔽
photoresist pattern delineation 光刻胶层图像形成
photoresist process 光刻法
photoresist spinner 旋转涂胶机
photoresistor 光敏电阻器
photoresponsive junction 光敏结
photosensitive 光敏的
photosensitive film 感光膜
photosensitive layer 光敏层
photosensitive semiconductor 光敏半导体
photosensitivity 光敏度
photosensor 光敏元件
photosetting 照相排字
photosurface 感光面
phototelegram 传真电报
phototelegraphic apparatus 传真电报机
photothyristor 光电闸淋
phototransistor 光电晶体管
phototron 矩阵光电管
phototube 光电管
photovaristor 光变阻器
photovoltage 光电压
photovoltage cell 光电池
photovoltaic cell 光电池
photovoltaic effect 光生伏打效应
photovoltaic energy conversion 光生伏打能量转换
photovoltaic module 光生伏打组件
photox 光化学汽相淀积氧化物
physical circuit 实线线路
physical sputtering 物理溅射
physical vapor deposition 物理汽相淀积
physisorption 物理吸着
pick up coil 耦合线圈
pick up tube 电视摄像管
pickup 拾音器
pickup arm 拾音欺
pickup link transmitter 拾像中继发射机
picosecond holography 微微秒全息照相术
picosecond pulse 微微秒脉冲
picosecond technique 微微秒技术
pictorial wiring diagram 图示接线图
picture 图像
picture altitude 图像高度
picture amplifier 图像信号放大器
picture black 黑图像
picture element 像素
picture frequency 帧频
picture monitor 图像监视器
picture reproduction 图像重显
picture resolution 图像清晰度
picture sharphness 图像清晰度
picture signal 图像信号
picture telephone 电视电话
picture transmission 视频传输
picture transmitting 图像传送
picture tube 显像管
piece part 零件
piezocrystal 压电晶体
piezoelectric 压电体
piezoelectric cartridge 压电式拾音头
piezoelectric crystal 压电晶体
piezoelectric loudspeaker 压电扬声器
piezoelectric microphone 压电传声器
piezoelectric receiver 压电晶体受话器
piezoelectricity 压电现象
piezoresonator 压电谐振器
pigtail 抽头
pillow distortion 枕形失真
pilot channel 异频电路
pilot frequency 异频
pilot signal 监控信号
pilot wire circuit 控制线电路
pin 管脚
pin assignment 引脚分配
pin compatibility 管脚互换性
pin configuration 引脚分配
pin diode pin二极管
pin grid array 管脚阵列
pin grid array package 管脚阵列型管壳
pin grid spacing 管脚间距
pin in line package 管脚阵列型管壳
pin jack 管脚插口
pinch compression 等离子体压缩
pinch discharge 箍缩放电
pinch discharge pumped laser 箍缩放电抽运激光器
pinch discharge pumping 箍缩放电抽运
pinch effect discharge 箍缩效应放电
pinch off 夹断
pinch roller 压紧轮
pinhole 针孔
pinout 引脚分配
pinpoint soldering 点焊
pip 尖头信号
pipe diffusion 沿位错线扩散
pirani gage 皮喇尼真空计
piston 活塞
piston attenuator 活塞式衰减器
pit 洞
pitch 管脚间距
pitch control 行距控制
pitch of grooves 槽间距
pitting 凹坑的形成
pixel 像素
placer 放置器
planar air isolation 平面型空气隔离
planar circuit 平面电路
planar diode 平极型二板管
planar dopant host 片状扩散源
planar electrode 平面电极
planar epitaxial diode 平面型外延二极管
planar epitaxial technique 平面外延工艺
planar epitaxial transistor 平面型外延晶体管
planar integrated circuit 平面集成电路
planar junction 平面结
planar lightguide 平面光波导
planar mounted integrated circuit 偏平封装集成电路
planar oxidation 平面氧化
planar package 平面管壳
planar photodiode 平面型光敏二极管
planar plasma etcher 平面式等离子体腐蚀器
planar plasma reactor 平面式等离子体腐蚀器
planar process 平面工艺
planar processing approach 平面技术
planar source 片状扩散源
planar technique 平面技术
planar transistor 平面晶体管
planar wafer 平面薄片
planarity 平面度
planarization ring 整平环
planarized structure 平面型结构
plane aerial 平面形天线
plane antenna 平面形天线
plane of polarization 偏振面
plane parallel cavity 平行平面空腔
plane polarized light 平面偏振光
plane polarized wave 平面偏振波
plane position indicator 平面位置指示器
plane reflector antenna 平面反射旗线
plane sinusoidal wave 平面正弦波
plane wave 平面波
planetary fixture 行星型夹具
plank's constant 普朗克常数
planning 元件布置
plant intercommunication 厂内通信
plasma 等离子体
plasma accelerator 等离子体加速器
plasma anodization 等离子体阳极氧化
plasma ashing 等离子灰化
plasma balance 等离子体平衡
plasma chemical vapor deposition 等离子体化学汽相淀积
plasma cloud 等离子体云
plasma column 等离子体柱
plasma confinement 等离子体约束
plasma desmear system 等离子清洁处理装置
plasma display 等离子体显示器
plasma display panel 等离子体显示板
plasma etch cleaning 等离子腐蚀清洗
plasma etch mask 等离子腐蚀用掩模
plasma etcher 等离子体腐蚀器
plasma etching 等离子腐蚀
plasma frequency 等离子体频率
plasma gun 等离子枪
plasma heating 等离子体加热
plasma isolation 等离子体隔离
plasma jet 等离子体射流
plasma laser 等离子体激光器
plasma lifetime 等离子体寿命
plasma oscillations 等离子体振荡
plasma oxidation 等离子体氧化
plasma panel 等离子体显示板
plasma sheath 等离子壳层
plasma spraying 等离子溅射
plasma sputtering 等离子溅射
plasma stripping 等离子去胶
plasma waves 等离子体波
plasmatron 等离子立生管
plastic battery 塑料电池
plastic bleed 塑料漏出
plastic capsulation 塑料封装
plastic carrier 塑料载体
plastic deformation 塑性变形
plastic device 塑料封装掐
plastic dual in line package 塑料双列直插式外壳
plastic encapsulated integrated circuit 塑料封装集成电路
plastic encapsulation 塑料封装
plastic film 塑料膜
plastic packaged integrated circuit 塑料封装集成电路
plastic packaging 塑料封装
plasticizer 增塑剂
plate 板
plate characteristic 阳极特性
plate current modulation 屏极电疗
plate detection 阳极检波
plate dissipation 屏极耗散
plate efficiency 阳极效率
plate load 屏极负载
plate neutralization 阳极中和
plate of a capacitor 电容颇板片
plate resistance 阳极电阻
plate terminal 阳极端子
plate voltage 阳极电压
plated circuit 印刷电路
plated hole 金属化孔
plated resist 电镀抗蚀层
plated through hole 电镀的穿孔
plating 喷镀
plating bath 电镀槽
platinum 铂
playback 再现
pleochroic dye 多色性染料
pliotron 高度真空管
pll 镇相回路
plotter 绘图机
plotting table 标绘板
plug and socket 插头插座
plug board 插接板
plug connector 插塞式连接器
plug in module 插件
plug in package 插入式组件
plumbicon 氧化铅光导摄象管
plunger 短路器
pm 掂
pmos transistor p 沟道金属氧化物半导体晶体管
pockels cell 普克尔斯盒
pockels effect 普克尔斯效应
pockels effect light valve 普克尔斯效应光阀
point 点
point cathode 点状阴极
point charge 点电荷
point contact 点接触
point contact diode 点接触二极管
point contact junction 点接触结
point contact transistor 点接触晶体管
point defect 点缺陷
point diode 点接触二极管
point radiator 点状辐射体
point to point circuit 点到点通信
point to point communication 点到点通信
point to point link 点到点通信
point transistor 点接触晶体管
polarity 极性
polarization ellipse 偏振椭圆
polarization error 极化误差
polarization holography 偏振光全息照相术
polarization plane 偏振面
polarization rotation 偏振面旋转
polarization vector 极化失量
polarized beam 偏振波束
polarized light 偏振光
polarized wave 极化波
polarizer 偏振器
pole tip 磁头尖
polisher lapper 研磨 抛光机
polishing etchant 抛光用腐蚀剂
pollution detection 污染探测
poly plant 多晶硅制造装置
poly reox 多晶硅再氧化
poly semiconductor 多晶半导体
poly squared cmos 双层多晶硅互补金属氧化物半导体
polyatron 多阳极计数管
polychip dip 多片双列直插式外壳
polycide gate 多晶硅 硅化物栅极
polycrystal 多晶
polycrystal isolation 多晶硅隔离
polycrystalline deposition 多晶淀积
polycrystalline ingot 多晶锭
polycrystalline silicon gate mos 多晶硅栅金属氧化物半导体
polycrystalline structure 多晶结构
polyimide adhesive 聚酰亚胺粘合剂
polyimide film 聚酰亚胺膜
polymer adhesive 聚合物粘合剂
polymer thick film 聚合物厚膜
polymeric resist 聚合物光刻胶
polymeric sealant 聚合物密封剂
polymerized photoresist 聚合物光刻胶
polyresistor 多晶硅电阻器
polyrod antenna 介质天线
polysilicon 多晶硅
polysilicon backfill 多晶硅填充
polysilicon boat 多晶硅小舟
polysilicon diffusion equipment 多晶硅管扩散炉
polysilicon fet 多晶硅场效应晶体管
polysilicon filling 多晶硅填充
polysilicon gate ccd 多晶硅栅电荷耦合掐
polysilicon gate process 多晶硅栅工艺
polysilicon on oxide region 氧化物层上多晶硅区
polysilicon self aligned process 多晶硅自对准工艺
pool cathode 液体阴极
pool rectifier 液体阴极整流
population difference 粒子数差
population inversion 粒子数反转
population inversion lifetime 粒子数反转寿命
population lifetime 粒子数寿命
population measurement 粒子数测量
porous getter 多孔吸气剂
porous silicon 多孔硅
port radar 港口雷达
portable 携带式的
portable laser 便携式激光器
portable set 便携式无线电机
portable television receiver 便携式电视接收机
portable television transmitter 便携式电视发射机
portable transmitter 便携式发射机
position 位置
positioner 位置控制器
positioning system 位置控制器
positioning table 定位台
positive 正的
positive artwork 正象原图
positive bias 正偏压
positive carrier 正电荷载劣
positive column 正电柱
positive electron affinity 正电子亲合力
positive emulsion 正性乳剂
positive feedback 正反馈
positive glow 阳辉光
positive image 正象
positive image material 正性光刻胶
positive ion beam 正离子束
positive modulation 正极性灯
positive pattern 正象
positive photoresist 正性光刻胶
positive phototool 正像掩模
positive picture 正像
positive rays 阳极射线
positive record 正像记录
positive resist lithography 正性胶光刻
positive sync pulse 正同步脉冲
positive temperature coefficient of resistance 电阻的正温度系数
positive transmission 正灯传送
post acceleration 后加速
post bake 后烘
post deflection acceleration 后加速
post seal visual 密封后肉眼检查
post selection 后选择
postdevelopment bake 显影后烘烤
postexposure bake 曝光后烘烤
potential 电势
potential barrier 势垒
potential drop 电压降
potential pit 势阱
potential well 势阱
potentiometer 电位计
potted circuit 密封电路
potted electronic module 密封电子组件
potted element 密封元件
potting 密封
potting resin 密封用尸
power 功率
power amplifier 功率放大器
power apparatus 电源设备
power consumption 能量消耗
power conversion 能量变换
power density 功率密度
power detector 功率方向继电器
power divider 功率分配器
power gain 功率增益
power induction 电力线感应
power integrated circuit 功率集成电路
power loss 功率损耗
power modulation 功率灯
power module 电源组
power output 功率输出
power output variation 输出功率变化
power pack 电源组
power rating 额定功率
power semiconductor 功率半导体掐
power splitter 功率分配器
power stability 功率稳定性
power supply 电源
power supply of laser 激光歧源
power supply unit 电源部分
power transistor 功率晶体管
ppb 十亿分之几
ppm 百万分之
ppr 正性光刻胶
ppt 兆分之
pre amplifier 前置放大器
pre epitaxial growth 外延前生长
pre etch hardbake 腐蚀前烤硬
pre implantation 预注入
preacceleration 预加速
preaging 预老化
prealigner 预对准器
prealignment 预对准
preamplification 前置放大
preamplifier 前置放大器
prebake 前烘
precap visual 密封前肉眼检查
precedence indicator 优先指示符
precession of orbit 轨道进动
precession time 进动时间
precipitating crystallization 沉淀结晶化
precipitation 沉淀
precircuit 模型电路
precise finish 精密研磨
precise registration 精密对准
precision 准俑
precision photolithography 精密光刻
preconditioning 预处理
predeposit implant 预淀积注入
predeposition diffusion 预淀积扩散
prediction 预报
predissociation 预离解
preemphasis 预加重
preferential absorption 选择吸收
preferential doping 选择掺杂
preferential recombination 择优复合
preferred orientation 择优取向
preform 预成形
preheating 预加热
preionization 预电离
preionization laser 预电离激光器
preionized discharge 预电离放电
preionized pulsed laser 预电离脉冲激光器
premolded chip carrier 预模制芯片载体
prescaler 预换算装置
preseal burn in 密封前退火
preseal visual 密封前肉眼检查
preselection 预选
preselector 预选器
preserve colour film with laser 用激光保存彩色影片
preset capacitor 微电容器
press pack 紧压包装
pressure 压力
pressure contact 压力接点
pressure cooker 高压锅
pressure microphone 声压式话筒
preventive maintenance efficiency 预防性维修效率
prf 脉冲重复频率
primary electron 一次电子
primary line switch 第一级寻线机
primary radar 一次雷达
primary radiation 原辐射
primary radiator 原辐射器
primary standard 原始标准
primary wave 初波
prime 涂底层
principal lobe 吱瓣
principle of duality 对偶原理
principle of self phasing 自动定相原理
print through 透录
printed antenna 印刷天线
printed circuit 印刷电路板
printed circuit master drawing 印刷电路原图
printed circuit module 印刷电路组件
printed circuit pattern 印刷电路图案
printed circuit rack 印刷电路组装架
printed component part 印刷电路元件
printed conductor paste 网印用导体膏
printed resistor 印刷电阻器
printed wiring board 印刷电路板
printed wiring circuit 印刷布线电路
printer 印刷机
printergram 印字电报
printing 印刷
printing apparatus 印刷机
printing cathode ray tube 符号印刷阴极射线管
printing density 印刷密度
printing fidelity 印刷保真度
printing paste 印刷膏
printing reperforator 印字复凿孔机
printing telegraph 打字电报机
printing telegraphy 打字电报
printing wavelength 曝光射线波长
priority connection 优先连接
priority indicator 优先指示符
privacy system 保密制
private automatic branch exchange 专用自动小交换机
private branch exchange 专用小交换机
private line 专线
private telephone exchange 专用电话交换机
probability of failure 故障几率
probe 探针
probe array 探针阵列
probe contact pad 探针测试点
probe coupling 探针耦合
probe station 探测台
probe yield 探针测试成品率
prober 探针器
probing 探测
proceed to dial signal 进行选择信号
proceed to select signal 进行选择信号
process 工艺
process certification 工艺验证
process control gate 工艺控制台
process control inspection 工艺控制检查
process development chip 工艺过程开发用芯片
process development wafer 工艺过程开发用测试薄片
process engineering 工艺技术
process flow 工艺撂
process gas 工艺气体
process liquid 工艺液体
process qualification 工艺鉴定试验
process repeatability 工艺复现性
process sequence 工艺步骤
process validation wafer 工艺检验用薄片
process visual control 工艺肉眼检查
processing 处理
processing chamber 工艺处理室
processing compatibility 工艺兼容性
processing equipment 工艺设备
processing facilities 工艺设备
processing induced pinhole 工艺诱起的针孔
processing line 生产过程廉线
processing logic 处理逻辑
processing rate 处理速度
processing speed 处理速度
processing step 工艺步骤
processor 处理机
product mix 产品酶表
production communication 生产通信
production mask 工宗模
production plant 生产设备
prognosis 预报
program 程序
program circuit 广播节目电路
program control 程序控制
program mask 程序掩模
programmable array logic 可编程序逻辑阵列
programmable gate array 可编程序门阵列
programmable logic array 可编程序逻辑阵列
programmable read only memory 可编程序只读存储器
programmed interconnection pattern 程序控制互连图
programmer 程序设计? 程序设计员
progressive scanning 顺次扫描
progressive wave aerial 行波天线
progressive wave antenna 行波天线
progressive wave tube 行波管
projection 投影
projection aligner 投影式对准曝光装置
projection cathode ray tube 投射式显像管
projection display device 投影式显示掐
projection exposure 投影曝光
projection imaging 投影成像
projection lithography 投影光刻
projection mask 投影掩模
projection photolithography 投影光刻法
projection print plate 投影掩模
projection printer 投影式对准曝光装置
projection printing 投影光刻
projection reduction scale 投影缩小比例
projection stepper 步进式投影曝光装置
projection television 投影式电视
projection television receiver 投影式电视接收机
projection tube 投射管
projection type cathode ray picture tube 投射式阴极射线管
projector 投影式对准曝光装置
prolongation 延长
prom 可编程序只读存储器
propagation 传播
propagation constant 传播常数
propagation delay 传播延迟
propagation delay time 传播延时间
propagation difference 传播路程差
propagation length 传播距离
propagation loss 传播损耗
propagation path 传播路径
propagation ratio 传播比
proper value 固有值
proportional counter 比例计数器
protection 保护
protection circuit 保护电路
protective coating 保护镀层
protective covering 保护层
protective layer 保护层
protocol 日态
proton beam 质子束
proton bombardment 质子轰击
proton bombardment isolation 质子轰霍离
proton implanted region 质子注入区
prototype 原型
proximity aligner 接近式对准曝光器
proximity effect 邻近效应
proximity exposure 接近式曝光
proximity focused image tube 近聚焦式移像管
proximity gap 贴近间隙
proximity mask alignment 接近式掩模对准
proximity printer 接近式对准曝光器
proximity printing 接近式曝光
proximity spacing 贴近间隙
prr 脉冲重复频率
psa 多晶硅自对准工艺
pseudonoise generator 伪噪声信号发生器
psg 磷硅酸玻璃
psu 电源部分
ptcr 电阻的正温度系数
ptm 脉冲时间灯
public address system 扩音系统
public call office 公用电话亭
public data network 公用数据传送网络
public line 公用线
public network 公用网络
public telecommunications service 公用通信服务
public telephone station 公用电话亭
pull test 张力试验
pull up resistor 负载电阻
pulled crystal 拉制的晶体
pulled junction 生长结
puller 拉单晶机
pulling factor 牵引因数
pulling figure 曳谍值
pulling growth 拉制生长
pulling of crystals 直拉单晶
pulling rate 拉晶速度
pulling speed 拉晶速度
pulse 脉冲
pulse amplifier 脉冲放大器
pulse amplitude 脉冲幅度
pulse amplitude modulation 脉冲幅度灯
pulse analyzer 脉冲分析仪
pulse band 脉冲频带
pulse bandwidth 脉冲带宽
pulse base 脉冲底部
pulse carrier 脉冲载波
pulse clipper 脉冲熄器
pulse clipping 脉冲熄
pulse code 脉冲编酮
pulse code modulation 脉码灯
pulse compression 脉冲压缩
pulse compression technique 脉冲压缩技术
pulse counter 脉冲计数器
pulse decay time 脉冲后沿持续时间
pulse discriminator 脉冲鉴别器
pulse distribution amplifier 脉冲分配放大器
pulse doppler radar 脉冲多普勒雷达
pulse droop 脉冲顶倾斜
pulse duration 脉冲持续时间
pulse duration jitter 脉冲持续时间晃动
pulse duration modulation 脉冲持续时间灯
pulse duty factor 脉冲占空系数;脉冲占空因数
pulse edge 脉冲前沿
pulse energy 脉冲能量
pulse fall time 脉冲后沿持续时间
pulse flatness deviation 脉冲顶倾斜
pulse forming circuit 脉冲形成电路
pulse frequency 脉冲重复频率
pulse frequency modulation 脉冲频率灯
pulse front 脉冲前沿
pulse generator 脉冲发生器
pulse height 脉冲幅度
pulse height analyser 脉冲高度分析器
pulse height distribution 脉冲振幅分布
pulse height resolution 脉冲幅度分辨率
pulse interlacing 脉冲交错
pulse intermodulation interference 脉冲互瞪扰
pulse interval 脉冲间隔
pulse interval modulation 脉冲间隔灯
pulse jitter 脉冲颤动
pulse laser operation 脉冲激光扑转
pulse length 脉冲宽度
pulse length modulation 脉冲间隔灯
pulse length reduction 脉冲宽度压缩
pulse mixing 脉冲混合
pulse mode 脉冲模
pulse modulation 脉冲灯
pulse modulation radar 脉冲灯雷达
pulse modulator 脉冲灯器
pulse modulator radar 脉冲雷达
pulse noise 脉冲噪声
pulse operation 脉冲状态工作
pulse oscillograph 脉冲示波器
pulse period 脉冲周期
pulse phasing 脉冲相位蝶
pulse position modulation 脉冲位置灯
pulse pumping 脉冲抽运
pulse rate 脉冲重复频率
pulse ratio 脉冲比
pulse recurrence frequency 脉冲重复频率
pulse reflectometer 脉冲反射计
pulse regeneration 脉冲再生
pulse regeneration unit 脉冲再生器
pulse repetition cycle 脉冲重复周期
pulse repetition frequency 脉冲重复频率
pulse response 脉冲响应
pulse retardation circuit 脉冲延迟电路
pulse rise time 脉冲上升时间
pulse selector 脉冲选择器
pulse separation 脉冲间隔
pulse separation jitter 脉冲间隔晃动
pulse separator 脉冲分离器
pulse sequence 脉冲串
pulse shape 脉冲波形
pulse shaper 脉冲形成器脉冲整形电路
pulse shaping circuit 脉冲形成器脉冲整形电路
pulse spectrum 脉冲频谱
pulse stretcher 脉冲扩展器脉冲展宽器
pulse stretching 脉冲拖尾
pulse switch 脉冲开关
pulse sync 脉冲同步
pulse tail 脉冲尾部
pulse thyratron 脉冲闸淋
pulse tilt 脉冲顶倾斜
pulse time modulation 脉冲时间灯
pulse train 脉冲串
pulse train generator 脉冲列发生器
pulse transmission 脉冲传输
pulse triggering 脉冲触发
pulse velocity 脉冲传播速度
pulse widening 脉冲展宽
pulse width 脉冲宽度
pulse width modulation 脉冲间隔灯
pulse wing 脉冲尾部
pulsed irradiation 脉冲辐照
pulsed laser 脉冲激光器
pulsed magnet field 脉冲磁场
pulsed mode 脉冲工捉式
pulsed operation 脉冲工捉式
pulsed radar 脉冲雷达
pulsed tube 脉冲管
pulser 脉冲发生器
pulsing 脉冲发生
pulsing laser 脉冲激光器
pulsing radar 脉冲雷达
pulsing system 脉冲制
pump band 泵带
pump lamp 抽运灯
pump linewidth 抽运频带线宽
pump oscillator 泵激振荡器
pump voltage 泵激电压
pumpdown 抽气
pumping 抽运
pumping energy 抽运能量
pumping intensity 抽运强度
pumping length 抽运长度
pumping line 泵送管道
pumping power 泵功率;抽运功率
pumping source 抽运源
pumping speed 抽气速度
pumping system 泵激系统
pumping time 抽运时间
punch through 穿通现象
punching 打孔
puncture 哗
pure semiconductor 本针导体
purging 净化
purification 净化
purified germanium 精制锗
purified silicon 提纯硅
purifier 提纯器
purity 纯度
purity test 纯度检查
push button 按钮开关
push button dial 按钮拨号盘
push button telephone 按号式电话
push pull 推挽的
push pull amplifier 推挽放大器推挽式放大器
push pull connection 推挽接法
push pull detector 推挽式检波器
push pull stage 推挽级
pushbutton 电钮
pvd 物理汽相淀积
pyramid of growth 生长棱锥体
pyramidal horn 角锥形喇叭筒
pyroelectric detection 热电检测
pyroelectric detector 热电检测器
pyroelectric vidicon 热电视像管
pyrolytic decomposition 热解
pyrolytic deposition 热解淀积
pyrolytic oxide 热解氧化物
pyrolytic reaction 热解反应
pyrolytically deposited layer 热解淀积层
pyrotube furnace 热管式炉
q components q 信号分量
q external 外界品质因数
q factor q 值
q factor modulation q 因数灯
q meter 品质因数测量仪
q signal q 信号
q spoiled laser q 突变激光器
q switch q 开关
q switch laser q 开关激光器
q switched unit q 开关装置
q switching of resonator 谐振腔 q 灯
q switching operation q 开关操作
q&r 质量和可靠性
qa 质量保证
qil 四列直插式外壳
qtat line 高生产效率工艺线
quad flat package 四列直插式扁平外壳
quad in line package 四列直插式外壳
quadraphonic amplifier 四声道立体声放大器
quadraphonic record 四声道立体声唱片
quadraphonic recording 四声道立体声录音
quadraphonic system 四声道立体声系统
quadratron 四极管
quadrature amplifier 相位正交放大器
quadrature detector 正交检波器
quadrature modulation 正交灯
quadrature phase 正交相位
quadripole 四端电路
quadrupole 四极透镜
quadrupole mass spectrometer 四极质谱分析器
qualification 鉴定试验
qualification test 鉴定试验
quality 品质
quality and reliability 质量和可靠性
quality assurance 质量保证
quality factor q 值
quality of transmission 传输品质
quantization 量子化
quantization distortion 量子化失真
quantization level 量子化电平
quantization method 量子化方法
quantization noise 量子化噪声
quantized signal 量子化信号
quantized system 量子化系统
quantizer 量子化装置
quantum 量子
quantum efficiency 量子效率
quantum electronics 量子电子学
quantum energy 量子能
quantum jump 量子跃迁
quantum light theory 光量子理论
quantum limited sensitivity 量子噪声限制灵敏度
quantum mechanical tunneling 量子力学隧道效应
quantum noise limited detector 量子噪声限制检波器
quantum noise limited receiver 量子噪声限制接收机
quantum of action 酌量子
quantum radioengineering 量子无线电工程
quantum statistics 量子统计学
quantum system 量子系统
quantum theory of light 光的量子理论
quantum well structure 量子阱结构
quantum yield 量子效率
quarterwave antenna 四分之一波长天线
quarterwave length 四分之一波长
quartz 水晶
quartz bell jar 钟形石英罩
quartz boat 石英舟
quartz capsule 石英密封小管
quartz chrome mask 石英铬掩模
quartz crucible 石英坩埚
quartz crystal 水晶
quartz filter 晶体滤波器
quartz lamp 石英灯
quartz oscillator 石英晶体振荡器
quartz plate 水晶片
quartz resonator 石英谐振器
quartz tube furnace 管状石英炉
quartz wavemeter 石英波长计
quasi electronic switching system 准电子开关系统
quasi fermi level 准费密能级
quasi fermi potential 准费密电位
quasi linear amplifier 准线性放大器
quasi multidimentional modeling 准多维模拟
quasi optical lightguide 准光学的光波导
quench 熄灭
quench pulse 复原脉冲
quenching circuit 猝熄电路
quenching frequency 猝熄频率
quenching of photoconductivity 光电导性猝熄
quenching resistor 降压电阻
quenching voltage 熄灭电压
quick dump rinser 高效率冲洗器
quick hardening 快速硬化
quick setup 快速蝶
quick turnaround time 短设计 生产周期
quiescent point 静点
quip 四列直插式外壳
quip socket 四列直插式组件插座
quitve circuit 有源电路
r black level 红路黑电平
r c coupling 电阻电容耦合
r c oscillator rc振荡器
r peak level 红色信号峰值电平
r s flip flop r s 触发器
r s t flip flop 复位 置位同步触发器
r y modulator r y 灯器
r y signal r y 信号
rack mounting 支架安装
racon 雷达信标
rad hard 增强抗辐射性
rad hard process 耐辐射掐制造工艺
radar 雷达
radar absorbing material 雷达信号吸收材料
radar astronomy 雷达天文学
radar beacon 雷达信标
radar beam riding 雷达波束制导
radar blinder 反雷达颇
radar camouflage 防雷达伪装
radar chaff 防雷达箔条
radar clutter 雷达杂乱回波
radar control area 雷达控制区
radar controlled missile 雷达制导导弹
radar countermeasures 雷达对抗
radar cross section 雷达目标有效截面
radar detection 雷达探测
radar display 雷达显示器
radar display room 雷达显示室
radar early warning satellite 远程警戒雷达卫星
radar guidance 雷达制导
radar guided missile 雷达制导导弹
radar holography 雷达全息照相术
radar homer 雷达自动引导头
radar homing 雷达自动引导
radar horizon 雷达酌距离
radar image 雷达图像
radar immunity 雷达抗扰度
radar mapping 雷达地形测绘
radar marker 雷达信标
radar missile tracker 导弹跟踪雷达
radar moving target indicator 雷达移动目标显示器
radar plot 雷达测绘板
radar resolution 雷达分辨力
radar scan 雷达扫描
radar screen 雷达荧光屏
radar shadow 雷达盲区
radar target 雷达目标
radar tracking 雷达跟踪
radar transmitter 雷达发射机
radarscope 雷达显示器
radial beam tube 径向偏转电子射线管
radial betatron oscillations 径向电子回旋加速岂荡
radial etch nonuniformity 径向腐蚀不均匀性
radial flow plasma etching reactor 径向两等离子体腐蚀装置
radial flux power 辐射通量功率
radial focusing 径向聚焦
radial lead 径向引线
radial mode laser 径向模式激光器
radial network 星状网
radial stability 径向稳定性
radial tetrode 射束四极管
radial transmission line 径向输电线路
radiant flux density 辐射通量密度
radiant heating 辐射加热
radiating element 辐射单元
radiating gas 辐射气体
radiating heating 辐射加热
radiating molecule 辐射分子
radiating particle 辐射粒子
radiating slot 辐射缝
radiation 辐射
radiation absorber 辐射吸收器
radiation annealing 放射线退火
radiation cooling 辐射冷却
radiation damage 辐照损伤
radiation damage threshold 辐射损伤阈
radiation detector 辐射检测器
radiation effect 辐射效应
radiation efficiency 辐射效率
radiation free processing 无辐射线处理
radiation hard cmos 抗辐射互补金属氧化物半导体
radiation hardened integrated circuit 抗辐射集成电路
radiation hardening 增强抗辐射性
radiation hardness 耐辐射性
radiation height 有效高度
radiation induced trapping 辐射感应捕获
radiation injury 辐射线损伤
radiation insensitivity 辐射不灵敏性
radiation ionization 辐射电离
radiation lobe 辐射波瓣
radiation loss 辐射损耗
radiation path 辐射轨迹
radiation pattern 辐射图
radiation polarization 辐射极化
radiation pressure 辐射压
radiation propagation 辐射传播
radiation protection 辐射防护
radiation receiver 辐射接收机
radiation recombination 辐射复合
radiation resistance 耐辐射性
radiation resistant diode transistor logic 耐辐射二极管晶体管逻辑
radiation safety 辐射安全
radiation sensitive material 辐射灵敏材料
radiation source 辐射源
radiation sterilization 辐照杀菌
radiation strenght 耐辐射性
radiation survey meter 辐射测量仪
radiation susceptibility 辐射灵敏度
radiation tolerant sos 耐辐射蓝宝石上硅结构
radiationless transition 无辐射跃迁
radiative equilibrium 辐射平衡
radiative jump 辐射跃迁
radiative loss 辐射损耗
radiative recombination 辐射复合
radiative transition 辐射跃迁
radiative transition with emission of gamma quantum 量子辐射跃迁
radiator 辐射体
radical plasma etching 自由基等离子体腐蚀
radical reaction 自由基间反应
radio 无线电
radio altimeter 无线电测高计
radio beacon 无线电信标
radio beacon receiver 无线电信标接收机
radio beacon station 无线电信标台
radio beacon system 无线电信标系统
radio bearing 无线电方位
radio broadcasting 无线电广播
radio channel 无线电信道
radio communication 无线电通信
radio compass 无线电罗盘
radio control 无线电控制
radio control receiver 无线电控制信号接收机
radio deception 无线电诱感
radio duct 无线电波导
radio frequency 无线电频率
radio frequency accelerator 射频加速器
radio frequency amplifier 射频放大器
radio frequency beam 无线电射束
radio frequency capture 高频捕获
radio frequency choke 射频扼力
radio frequency discharge 高频放电
radio frequency glow discharge 射频辉光放电
radio frequency heating 射频加热
radio frequency holography 射频全息照相术
radio frequency intermodulation distortion 射频互掸真
radio frequency plasma etch 高频等离子体腐蚀
radio frequency pulse 高频脉冲
radio frequency sputtering 射频溅射
radio fuse 无线电信管
radio goniometer 无线电测角计
radio horizon 无线电地平线
radio interference 无线电干扰
radio jammer 无线电干扰发生器
radio jamming 无线电干扰
radio link 无线电中继线路;无线电通信线路
radio location 无线电定位
radio magnetic indicator 无线电磁指示器
radio mast 天线杆
radio noise 射频噪声
radio noise map 无线电噪声图
radio operator 无线电操姿员
radio phare 无线电信标
radio pulse 射电脉冲
radio receiver 无线接电收机
radio relay system 无线电中继制
radio set 无线电机
radio signal 无线电信号
radio star 电波星
radio station 无线电台
radio telecontrol 无线电遥控
radio telephone network 无线电话网
radio telephone system 无线电话系统
radio telescope 无线电望远镜
radio waves 无线电波
radio wind 无线电测风
radioastronomy 无线电天文学
radioatmosphere 无线电大气
radiobearer 无线电测向器
radiocommunication 无线电通信
radioecho 无线电回波
radioelectricity 无线电电气
radioeletronics 无线电电子学
radioengineering 无线电工程
radiogalaxy 射电银河系
radiogoniometer 无线电测向器
radiogoniometry 无线电方位测量法
radiogonioscope 无线电测向仪
radiogram 无线电报
radiolocation 无线电定位
radiometer 辐射计
radiometry 辐射度量学
radionavigation 无线电导航
radioopacity 辐射不透迷
radiophone 无线电话
radiophotography 无线电传真
radiophototelegraphy 无线电传真电报学
radioreceiving 无线电接收
radiosensitivity 辐射灵敏度
radiosonde 无线电探空气球
radiotelegram 无线电报
radiotelegraphy 无线电报
radiotelemetry 无线电遥测学
radiotelephone 无线电话
radiotelephony 无线电话
radiotransmission 无线电发射
radiovision 电视
radius of action 酌半径
radome 天线罩
rainbow generator 彩条信号发生器
rainbow test pattern 彩虹测试信号图
raised metallized area 隆起的金属化区
raised pad 隆起焊盘
ram 随机存取存储器
ram refresh 随机存取存储泣新
raman bands 喇曼光谱带
raman effect 喇曼效应
raman frequency conversion 喇曼变频
raman laser 喇曼激光器
raman line 喇曼谱线
raman spectrum 喇曼光谱
ramark 雷达信标
random access communication system 随机存取通信系统
random access memory storage 随机存取存储器
random access storage memory 随机存取存储器
random imperfection 不规则缺陷
random interconnecting 随机布线
random variable 随机变量
range 酌距离
range accuracy 测距精度
range and bearing discrimination 距离和方位鉴别力
range discrimination 距离鉴别力
range extension 范围的伸展
range finder 测距仪
range marks 距离标记
range measurement 距离测量
range resolution 距离分辨力
ranging 测距
rare gas 稀有气体
ras 随机存取存储器
raster 光栅
raster generator 光栅发生器
raster geometry 光栅几何学
raster linearity 光栅线性
raster pitch 光栅线间距
raster scan electron beam lithography 光栅扫描电子束光刻
raster scan electron beam system 光栅扫描电子束光刻系统
raster scanning 光栅扫描
rate grown junction 变速生长结
rate of cooling 冷却速度
rate of vapor deposition 汽相淀积速率
rated current 额定电流
rated level 额定记录电平
rated life 额定寿命
rating 额定值
ratio 比
ratio detector 比例检波器
raw silicon 原料硅
raw wafer 未加工的晶片
rawin 无线电测风
ray path 射线路径
ray trajectory 射线路径
rc coupling 阻容耦合
rc oscillator rc 振荡器
rcdtl 电阻电容二极管晶体管逻辑
re recording 转录
reach through region 穿通区
reach through window 穿通窗
reach throuth photodiode 穿透式光二极管
reactance 电抗
reactance modulator 电抗灯器
reactant 反应物
reaction between crystals 晶体间反应
reaction chamber 反应室
reaction coil 回授线圈
reaction coupling 回授耦合
reaction gas 反应气体
reactive attenuator 电抗衰减器
reactive etching 反应性腐蚀
reactive gas plasma 活性气体等离子体
reactive ion beam 活性离子束
reactive ion beam etch 活性离子束腐蚀
reactive ion beam oxidation 活性离子束氧化
reactive ion etch 活性离子腐蚀
reactive ion etch resistance 耐活性离子腐蚀性
reactive ion etch system 活性离子腐蚀系统
reactive post 电抗接线端子
reactive sputtering 反应溅射法
reactor 反应器
read diode 里德二极管
read only memory 只读存储器
read only storage 只读存储器
read restore cycle 读出恢复周期
read write cycle 读写周期
reading 读出
reading apparatus 读出设备
reading laser beam 读出激光束
readout 读出
readout laser 读出激光器
readout resistor 读出寄存器
real time 实时
real time detection 实时探测
real time fourier processing 实时傅里叶变换
real time hologram 实时全息照象
real time imagery 实时成像
real time processing 实时处理
realignment 重对准
rebecca 机上雷达试别装置
rebroadcasting 转播
recall 二次呼叫
receipt operation 信号交换
received current 输入电流
receiver 接收机
receiver amplifier cryoelectronic system 接收 放大低温电子学系统
receiver recovery time 接收机恢复时间
receiver response time 接收机响应时间
receiver transfer characteristic 接收机传输特性
receiving aerial 接收机天线
receiving antenna 接收机天线
receiving perforator 复凿孔机
receiving station 收信台
receiving terminal station 终端接收站
receptacle 插座
receptacle pin 插座脚
reception 接收
reception diagram 接收天线方向图
reception level 接收电平
recharging 再充电
reciprocating pump 往复泵
reciprocity 互易性
recirculator 再循环装置
reclamation 回收
recognition 识别
recombination 复合
recombination center 复合中心
recombination center concentration 复合中心浓度
recombination coefficient 复合系数
recombination current 复合电流
recombination laser 复合式激光器
recombination level 复合电平
recombination lifetime 复合寿命
recombination losses 复合损耗
recombination luminescence 复合发光
recombination radiation 复合辐射
recombination rate 复合速度
recombination region 复合区
recombination velocity 复合速度
reconditioning 重第
reconversion 再转换
record changer 自动换片器
record rate 写速率
record speed 写速率
recorded level 记录电平
recording 记录
recording amplifier 录音放大器记录放大器
recording center 录音中心站
recording chain 录音通路
recording density 记录密度
recording device 记录装置
recording head 记录头
recording lamp 记录灯
recording laser 记录激光器
recording level indicator 记录电平指示器
recording loss 记录损耗
recording medium 记录媒体
recording player 电唱机
recording telegraph 印码电报机
recording time constant 记录时间常数
recover time 恢复时间
recovery 恢复
recovery temperature 恢复温度
recovery time 愎复时间
recrystallization 再结晶
recrystallization annealing 再结晶退火
recrystallization centre 再结晶中心
recrystallization nucleus 再结晶核
recrystallization temperature 再结晶温度
recrystallization texture 再结晶组织
recrystrallized junction 再结晶结
rectangular pulse 矩形脉冲
rectangular scanning 矩形扫描
rectangular waveguide 矩形波导
rectifier 传感器
rectifier diode 整窿极管
rectifying barrier 整疗垒
rectifying contact 整劣触
rectifying diode 整窿极管
rectilinear scanning 直线扫描
recuperation 再生
recurrent pulses 周期脉冲
recycle 再循环
recycling 再循环
red adder 红色加法器
red beam 红色电子束
red convergence circuit 红会聚电路
red electron gun 红色电子枪
red gain control 红色增益第器
red light laser 红色激光器
red primary 红基色
red primary signal 红基色信号
red raster 红色光栅
red restoration 红色电平恢复
redistribution 重新分配
redox reaction 氧化还原反应
reduced admittance 归一化导纳
reduced mask printing 缩小掩模曝光
reduced momentum of a particle 换算粒子动量
reduced pressure chemical vapor deposition 减压化学汽相淀积
reduced pressure epitaxy 减压外延
reduced temperature epitaxy 低温外延
reduced velocity of a particle 换算粒子速度
reducer 还原剂
reducing agent 还原剂
reducing ambinent 还原性气氛
reducing atmosphere 还原性气氛
reducing electron beam projection system 缩小式电子束投影系统
reduction 减少
reduction aligner 收缩式投影曝光装置
reduction camera 缩小摄像机
reduction of linewidth 线宽收缩
reduction of noise 减噪
reduction reaction 还原反应
reduction step 图像缩小工序
reduction technique 图像缩小技术
redundance code 冗余码
redundancy 冗余
redundancy of information 信息冗余度
redundancy rate 冗余度
redundant code 多余电码
redundant element 冗余元件
redundant integrated circuit 备用集成电路
reference 基准电压源
reference black level 黑色信号基准电平
reference circuit 参考电路
reference color 参考色
reference diode 恒压二极管
reference edge 基准边
reference frequency 基准频率
reference generator 标准信号发生器
reference level 基准电平
reference line 基线
reference mark 基准标记
reference noise 基准噪声
reference oscillator 基准振荡器
reference phase 基准相位
reference potential 基准势
reference recording medium 基准记录介质
reference signal 参考信号
reference standard 参考标准
reference subcarrier 基准副载波
reference voltage 基准势
reference voltage source 基准电压源
reference wave 基准波
reference white level 白信号标准电平
refill 填充
refinement 精炼
refiner 精制机
reflectance 反射系数
reflected binary code 格雷编码
reflected light 反射光
reflected light holography 反射光全息照相术
reflected power 反射功率
reflected wave 反射波
reflecting capacity 反射能力
reflecting filter 反射式滤波器
reflecting layer 反射层
reflecting mirror 反射镜
reflection 反射
reflection coefficient 反射系数
reflection electrode 反射极
reflection factor 反射系数
reflection loss 反射损耗
reflection loss of light 光反射损耗
reflection phase shifter 反射式移相器
reflection type circulator 反射式环行器
reflection type polarizer 反射式偏振器
reflectionless filter 无反射滤波器
reflectionless transition 无反射跃迁
reflective photocathode 反射式光电阴极
reflectivity 反射能力
reflectometer 反射计
reflector 反射极
reflector space 反射空间
reflex amplification 来复放大
reflex circuit 来复电路
reflex klystron 反射速弟
reflexionless connection 无反射连接
reflow soldering 回粮接
reflowed step 回沥序
refracted light 折射光
refracted wave 折射波
refracting medium 折射媒质
refraction 折射
refraction loss 折射损耗
refraction of rays 光折射
refractive index 折射率
refractive index inhomogeneity 折射率不均匀性
refractive index modulation 折射率灯
refractive index profile 折射率分布图
refractory mask 耐热掩模
refractory material 耐热材料
refractory metal gate 耐熔金属栅
refractory metal paste 耐熔金属膏
refractory metallization 耐熔金属化
refractory mos 耐熔金属氧化物半导体
refresh 再生
refresh cycle 恢复周期
refresh signal 再生信号
refreshing circuit 再生电路
refrigerator 冷冻机
refringence 折射
regeneration 再生
regeneration circuit 再生电路
regeneration rate 再生率
regenerative 再生放大
regenerative amplification 再生放大
regenerative amplifier 再生放大器
regenerative circuit 再生电路
regenerative detector 再生式检波器
regenerative feedback 正反馈
regenerative loop 再生环路
regenerative repeater 再生中继器
regenerator 再生器
region 区
register 寄存器
register button 寄存拼钮
register driver 寄存讫动器
register finder 寄存选择器
register key 寄存拼钮
register reading 计数屏数
registered layers 迭置层
registration 重合
registration accuracy 对准精度
registration mark 对准标记
registration precision 对准精度
registration tolerance 重合公差
regrowth 再生长
regular error 系统错误
regular layout 有规则布局图
regular logic 正规逻辑
regular pattern 正规图样
regularity 规则性
regulation 第
regulator 蝶器第器
reinforcement 放大
reject chip marking 废片打标
rejection 抑制
rejection band 拒斥频带
rejection ratio 衰减率
rejector 带阻滤波器
rejector circuit 带除滤波歧路
relative bearing 相对方差
relative electric susceptibility 相对电极化率
relative error 相对误差
relative growth rate 相对生长速度
relative humidity 相对湿度
relative level 相对电平
relative refractive index 相对析射率
relative time delay 相对延时
relaxation length 阻尼长度
relaxation oscillator 张弛振荡器
relaxation time 张弛时间
relaxation transition 张弛过渡
relaxed registration 不严格对准
relaxed registration photolithography 不严格对准光刻
relay 中继
relay amplifier 中继放大器
relay broadcast 无线电转播
relay broadcast station 广播转播电台
relay channel 转播信号通道
relay interrupter 继电平断续器
relay station 中继站
relay television 中继电视
relay transmitter 中继发射机
release 释放
release relay 复旧继电器
releasing current 释放电流
reliability 可靠度
reliability engineering 可靠性工程
reliability estimate 可靠性鉴定
reliability evaluation 可靠性鉴定
reliability fundamentals 可靠性理论
reliability index 可靠性指标
reliability index system 可靠性指标系统
reliability prediction 可靠性预计
reliability test 可靠性试验
relief 起伏
remanence 剩磁
remelt junction 再结晶结
remote control 遥控
remote control module 遥控组件
remote cut off tube 遥截止管
remote handling device 远距离操装置
remote indicator 遥示器
remote measuring 遥测
remotely controlled station 遥控站
removal 除去
renewal 再生
reox 氧化物反向腐蚀
reoxidation 再氧化
repair 修理
repair capability 修理能力
repairability 可修性
repeat 中继
repeatability 可重复性
repeated call 重复呼叫
repeater 步进重复照相机;中继器
repeater bay 增音栖
repeater gain 增音砌益
repeater rack 增音栖
repeater satellite 中继卫星
repeater station 增音站
repeater transmitter 中继发射机
repeating coil 转电线圈
repeating installation 帮电装置
repeller 反射极
reperforator 复凿孔机
repetition rate 重复频率
repetitions patterns 重复图样
repetitive patterns 重复图样
replica 复制品
replicated image 复制图象
replication 复制
report transmission 通报传输
reproducibility 可重复性
reproducing 再生
reproducing amplifier 再生放大器
reproducing channel 重放通路
reproducing channel amplitude frequency response 重放通路振幅 频率响应特性
reproducing device 重放设备
reproducing head 重放磁头
reproducing loss 复制损耗
reproducing stylus tip 唱针
reproduction 再生
reproduction quality 重放质量
reprogrammable memory 可改编程序存储器
reprogrammable rom 可改编程序只读存储器
reprom 可改编程序只读存储器
repulsive force 排斥力
request signal 请求信号
reradiation 再辐射
rering signal 重复呼叫
rerun 重新运行
rescap 阻容组件
resel 分解元件
reserve 预备
reset 复位
reset condition 清除条件
reset pulse 复原脉冲
reset set flip flop r s 触发器
residence telephone 住宅电话
residual current 残余电流乘余电流
residual damage 残留损伤
residual error rate 残留误差率
residual free substrate 无残留物衬底
residual gas 残留气体
residual oxide 残留氧化物
residual photoconductance 残留光电导
residual pressure 剩余压力
residual signal 残留信号
resilient lead 弹性引线
resin 尸
resin cast component 尸密封元件
resin glass 有机玻璃
resin molded semiconductor device 尸浇注型半导体掐
resist 抗蚀剂
resist adhesion 光刻胶附着
resist coating 涂光刻胶
resist definition 光刻胶层图像形成
resist dispenser unit 光刻胶配量器
resist film 光刻胶膜
resist pattern 光致抗蚀图
resist patterning 光致抗蚀图形成
resist patterning step 光致抗蚀图形成工序
resist profile 光刻胶断面图
resist remover 去胶机
resist response 光刻胶灵敏度
resist scum 未显影光刻胶
resist sensitivity 光刻胶灵敏度
resist speed 光刻胶灵敏度
resist stripping 脱胶
resistance 强度
resistance amplifier 电阻耦合放大器
resistance capacitance coupling 电阻电容耦合
resistance capacitance network 阻容网络
resistance coupled amplifier 电阻耦合放大器
resistance coupling 电阻耦合
resistance link 电阻分路
resistance network 电阻网络
resistance soldering 电阻钎焊
resistance type heater 电阻式加热器
resistive bridge 电阻电桥
resistive element 电阻元件
resistive evaporator 电阻式蒸发器
resistive film 电阻膜
resistive insulated gate fet 电阻绝缘栅场效应晶体管
resistive layer 电阻层
resistive load 电阻性负载
resistive material 电阻材料
resistive network 电阻网络
resistive paste 电阻膏
resistive state 有阻力状态
resistivity 电阻率
resistivity gauge 电阻率计
resistivity gradient 电阻率梯度
resistivity meter 电阻率计
resistivity profile 电阻率分布
resistless etching 无光刻胶腐蚀
resistless lighography 无光刻胶蚀刻
resistless patterning 无光刻胶图像形成
resistor 电阻器
resistor body 电阻体
resistor capacitor diode transistor logic 电阻电容二极管晶体管逻辑
resistor chip 片状电阻
resistor coupled transistor logic 电阻耦合晶体管逻辑电路
resistor microelement 微型电阻元件
resistor network 电阻网络
resistor parasitics 寄生电阻
resistor pattern 电阻萍形
resistor stripe 电阻条
resistor tolerance 电阻容许偏差
resistor track 电阻条
resistor transistor logic 电阻晶体管逻辑
resistor trimming 电阻微调
resnatron 谐腔四极管
resolution 分辨能力
resolution capability 分辨能力
resolution element 分解元件
resolution enhancement 分辨力增强
resolution limit 分辨限度
resolution threshold 分辨率阈值
resolution wedge 清晰度楔
resolving power 分辨能力
resonance 共振
resonance acceleration 谐振加速
resonance accelerator 谐振加速器
resonance circuit 谐振电路
resonance frequency 谐振频率
resonance line 谐振谱线
resonance loss 谐振损耗
resonance oscillations 谐振振荡
resonant amplification 谐振放大
resonant cavity 空腔谐振器
resonant diaphragm 谐振膜片
resonant line 谐振线
resonant slot 谐振缝隙
resonant window 谐振窗
resonator 谐振器
resonator grid 共振皮
resonator losses 谐振起耗
resonator mode 谐振腔模
resonatorless laser 无谐振皮光器
respond 应答
responder 应答机
responder beacon 应答婆标
response 响应
response characteristic 响应特性
response curve 响应特性
response time 响应时间
responser 应答机
responsivity 响应度
restorability 恢复能力
restorable system 可恢复系统
ret 环形发射极晶体管
retainer 保持架
retardant 阻化剂
retardation 延迟
retardation value 延迟值
retarding electrode 制动电极
retarding field 减速电场
retarding potential technique 滞后电位技术
reticle 中间掩模
reticle alignment 中间掩模对准
reticle image 中间掩模图像
reticle mask 中间掩模
reticle masking 中间掩模掩蔽
reticle pattern 中间掩模图样
retrieval time 检吮间
return 归路
return circuit 归路
return ghost 逆程重影
return loss 反射损耗
return time 复原时间
return trace 回描
reverberation 残响
reverberation time 残响时间
reversal 反转
reverse battery metering 反向电菱量
reverse bias 反偏压
reverse biased current 反向偏置电流
reverse biased diode 反向偏压二极管
reverse biased heterojunction 反向偏置异质结
reverse biased isolation 反向偏置隔离
reverse biased junction 反向偏置结
reverse characteristic 反向特性
reverse conductance 反向导电性
reverse current 反向电流
reverse current metering 反向电菱量
reverse etching of oxide 氧化物反向腐蚀
reverse image 倒像
reverse mesa 反向台面结构
reverse resistance 反向电阻
reverse voltage 反向电压
reversibility 可逆性
reversible transition 可逆跃迁
reversion 反相
rework cassette 晶片再生用盒
rf induced plasma 射频放电感应等离子体
rf sputtering 射频溅射
rh 相对湿度
rheostat 变阻器
rhombic antenna 菱形天线
rhumbatron 空腔谐振器环妆共振器
ribbon 带
ribbon crystal 带状晶体
ribbon lead 带状引线
ribbon lightguide 带状光波导
ribe 活性离子束腐蚀
ridge waveguide 脊峰波导管
rie 活性离子腐蚀
rieke diagram 雷基图
rigfet 电阻绝缘栅场效应晶体管
right angle pumping 直角泵激
right scale integration 适当规模集成化
rigid transmission mask 硬透射掩模
rigidity 硬度
ring 环
ring carrier 环形支架
ring counter 环形计数器
ring discharge 环形放电
ring dye laser 环形染料激光器
ring emitter transistor 环形发射极晶体管
ring getter 环形吸气剂
ring laser 环形激光器
ring laser gyroscope 环形激光陀螺仪
ring modulator 环形灯器
ring network 环形网
ring oscillator 环形振荡器
ring resonator 环形谐振器
ring seal 环封
ringback 二次呼叫
ringer 电铃
ringing 振铃
ringing circuit 振铃电路
ringing current 振铃电流
ringing equipment 呼叫设备
ringing frequency 振铃频率
ringing key 振铃键
ringing number 呼叫号
ringing numbers counter tank 呼叫号计数存储器
ringing relay 呼叫继电器
ringing signal 呼叫信号
ringing trip relay 振铃切断继电器
rinse 冲洗
rinse water 冲洗水
rinser 冲洗器
rinser dryer system 冲洗 干燥系统
rinsing module 冲洗组件
ripple 脉动
ripple filter 脉动滤波器
rise time 上升时间
rising sun type magnetron 旭日式磁控管
rms noise 平方根噪声值
robot picker 机扑夹钳
robotic technology 机扑学
robotic transfer system 机扑传送系统
robotics 机扑学
rocket 火箭
rocket warning radar 火箭警戒雷达
rod 棒
roentgen radiation x 射线辐射
rom 只读存储器
roof aerial 室顶天线
roof antenna 室顶天线
room noise 室内噪声
room temperature laser 室温激光器
room temperature lifetime 室温寿命
roots pump 罗茨泵
rotary antenna 旋转天线
rotary attenuator 旋转式衰减器
rotary beam aerial 射束旋转天线
rotary beam antenna 射束旋转天线
rotary beam splitter mirror 旋转射束分离镜
rotary grinder 转台磨床
rotary line switch 旋转式寻线机
rotary phase shifter 旋转式移相器
rotary pump 旋转泵
rotary selector 旋转式选择器
rotary spark gap 旋转火花隙
rotary switch 旋转开关
rotating anode 旋转阳极
rotating anode tube 阳极转动的x射线管
rotating anode x ray tube 旋转阳极 x 射线管
rotating beacon 旋转信标
rotating joint 旋转连接
rotating radio beacon 旋转信标
rotation of polarization plane 偏振面旋转
rotation vibration spectrum 旋转振动光谱
rotational level 转动能级
rotational line 转动谱线
rotational population 旋转粒子数
rotational state excitation 旋转状态激发
rough surface 粗糙表面
rough vacuum 低真空
roughness 粗糙度
round trip 来回行程
round trip loss 往返损耗
round trip time 来回一次时间
route 定线
router 路径选择程序
routine lithgraphic resolution 常规光刻分辨力
routing 定线
routing character 发信路由指示器
routing indicator 发信路由指示器
routing layout 互连布图
routing pattern 互连图
routing program 路径选择程序
row 行
rtl 电阻晶体管逻辑
rubidium freqnency standard 铷频率标准
rubric 标题
ruby crystal 红宝石晶体
ruby laser 红宝石激光器
ruby rod 红宝石棒
rubylith 红宝石
rubylith artwork 红膜原图
rugged environment 恶劣的环境
rugged photomask 耐磨损光掩模
rule 规则
rule checking 布图规则检测
rumble 隆隆声
run 运行
run to run repeatability 连续运行重现性
run to run reproducibility 连续运行重现性
run to run variation 连续运行参数分散
rupture 破坏
rural automatic exchange 乡村自动电话局
rural party line 乡村电话合用线
rural telecommunication 乡村远距离通信
rural telephone network 乡村电话网络
ruthenium oxide system 氧化钌膏系统
rutherford backscattering spectroscopy 卢瑟福背散射光谱学
rutile 金红石
rutile resonator 金红石谐振器
s d regions 源 漏区
s type characteristic s 型特性曲线
s/n ratio 随机杂波信杂比
safe area 画格
safety 安全性
safety factor 安全系数
sag 自对准栅
sagmos 自对准栅金属氧化物半导体
salicide 自对准多晶硅化物
sam 扫描式俄歇微型探针
samnos transistor 自对准金属氮化物氧化物半导体晶体管
sample 取样
sample hold circuit 取样 保持电路
sample wafer 样片
sampler 采样器
sampling 取样
sampling facility 采样器
sampling inspection 抽样检查
sampling oscilloscope 取样示波器
sandblaster 喷砂装置
sandblasting 喷砂
sandwich construction 夹层结构
sandwich structure 夹层结构
sandwiched film 多层薄膜
sapphire 蓝宝石
sapphire dielectric isolation 蓝宝石介质隔离
sapphire microprocessor 蓝宝石上硅结构微处理机
sasfet 自对准肖特基栅场效应晶体管
satellite 卫星
satellite assisted communication 人造卫星通信
satellite broadcasting 人造卫星广播
satellite communication 卫星通信
satellite communication antenna 卫星通信天线
satellite data transmission 卫星数据传输
satellite ground station 人造卫星地面站
satellite image 卫星传送图像
satellite link 卫星通信线路
satellite ranging 卫星测距
satellite relay 卫星中继器
satellite television 卫星电视
satellite tracking 卫星跟踪
satellite tracking station 卫星跟踪站
satellite transmission 卫星传送
saticon 塞蒂康视象管
sato process 自对准厚氧化膜工艺
saturable core 饱和铁心
saturated gain 饱和增益
saturated solution 饱和溶液
saturation 饱和
saturation characteristic 饱和特性
saturation current 饱和电流
saturation mode 饱和状态
saturation of the molecular transitions 分子跃迁饱和
saturation of the population inversion 反转粒子数饱和
saturation point 饱和点
saturation region 饱和区域
saturation resistance 饱和电阻
saturation voltage 饱和电压
saw 表面声波
saw amplifier 表面声波放大器
saw blade 锯齿
saw device 表面声波掐
saw tooth generator 锯齿波振荡器锯齿波发生器
saw tooth oscillator 锯齿波振荡器锯齿波发生器
saw tooth voltage 锯齿形电压
sawing 锯割
sawtooth current 锯齿波形电流
sawtooth generator 锯齿波发生器
sawtooth pulse 锯齿波脉冲
sb fet 肖特基势垒栅场效应晶体管
sbc 标准埋层集极
sbc technique 标准隐埋集电极技术
sbmos 肖特基势垒栅金属氧化物半导体
sc 半导体
scale 标度
scale factor 换算因数
scale of ten circuit 十进数计数器
scale of two circuit 二进制计数器
scaled down lithography 按比例缩小光刻技术
scaled geometry 按比例缩小的几何尺寸
scaled integrated circuit 按比例缩小的集成电路
scaled isoplanar 按比例缩小绝缘分离技术
scaled mos 按比例缩小的金属氧化物半导体
scaled process 按比列缩小集成电路工艺
scaled representation 比列图像显示
scaler 计数器
scaling 按比例缩小
scaling down 按比例缩小
scaling factor 换算因数
scaling law 比例缩小规则
scaling parameter 换算因数
scaling ratio 换算因数
scan 扫描
scan converter device 扫描变换掐
scan generator 扫描振荡器
scan linearity 扫描直线性
scanistor 固体扫描器
scanned picture 扫描图象
scanner 扫描器
scanning 扫描
scanning amplifier 扫描放大器
scanning auger microanalysis 扫描式俄歇微量分析
scanning auger microprobe 扫描式俄歇微型探针
scanning beam 扫描射束
scanning cycle 扫描周期
scanning device 扫描器
scanning direction 扫描方向
scanning electron beam 扫描电子束
scanning electron beam lithography 扫描式电子束刻蚀
scanning electron micrograph 扫描式电子显微照片
scanning electron microscope 扫描电子显微镜
scanning frequency 扫描频率
scanning generator 扫描振荡器
scanning laser acoustic microscope 扫描式激光声学显微镜
scanning ling 扫描线
scanning of laser directivity diagram 激光方向性图扫描
scanning pattern 扫描图形
scanning projection printing 扫描式投影曝光
scanning speed 扫描速度
scanning spot 扫描点
scanning stage 扫描级
scanning standard 扫描标准
scanning system 扫描方式
scanning transmission electron microscope 扫描透射电子显微镜
scanning tunneling microscope 扫描式隧道显微镜
scanning velocity 扫描速度
scanning voltage 扫描电压
scat 肖特基单元阵列技术
scatter propagation 散射传播
scattered light 散射光
scattered power 散射功率
scattered radiation 散射辐射
scattering 频散
scattering coefficient 散射系数
scattering cross section 散射截面
scattering loss 散射损耗
sccd 表面电荷耦合掐
schmitt trigger 施密特触发器
schot effect 散粒效应
schottky barrier 肖特基势垒
schottky barrier gate 肖特基势垒栅
schottky barrier gate fet 肖特基势垒栅场效应晶体管
schottky barrier mos 肖特基势垒栅金属氧化物半导体
schottky barrier photodiode 肖特基势垒光电二极管
schottky cell array technology 肖特基单元阵列技术
schottky clamp diode 肖特基钳位二极管
schottky clamped transistor 肖特基钳位晶体管
schottky defect 肖特基缺陷
schottky diode 肖特基势垒二极管
schottky diode fet 肖特基势垒栅场效应晶体管
schottky disorder 肖特基缺陷
schottky effect 肖特基效应
schottky electrode 肖特基势垒栅
schottky gate fet 肖特基势垒栅场效应晶体管
schottky gate metallization 肖特基栅金属化
schottky interface 肖特基界面
schottky noise 肖特基噪声
schottky transistor 肖特基晶体管
schottky transistor transistor logic 肖特基晶体管 晶体管逻辑
schottky ttl gate 肖特基晶体管晶体管逻辑门
schottky vacancy 肖特基缺陷
scintillation 闪烁
scintillation detector 闪烁检测器
scintillator 闪烁体
scope 酌域
score line 划线
scored slice 伤痕薄片
scr 可控硅整流
scrambler 倒频器
scratch 条痕
scratching noise 唱针噪声
screen 屏幕
screen burning 萤光屏烧毁
screen grid 帘栅极
screen grid current 帘栅极电流
screen grid voltage 帘栅极电压
screen mask 印网掩模
screen printable paste 丝网漏印用膏
screen printer 丝网漏印机
screen printing 网印
screen printing stencil 丝网漏印模板
screen size 筛号
screenable resist 丝网漏印用光刻胶
screened cable 屏蔽电缆
screened conductor 丝网印制导体
screened on resistor 丝网印制电阻器
screened pentode 高频五极管
screened solder mask 丝网印制钎焊掩模
screening 屏蔽
screening box 屏蔽箱
screening burn in 取样老炼
screening test 筛选检验
screw dislocation 螺旋位错
screw terminal 螺旋式接线柱
scribe line definition 划线图案形成
scribed line 划线
scriber 划线器
scribing 划线
scribing system 划线器
scrren grid tube 帘栅管
scrubber 洗涤器
scrubbing 洗涤
sct 表面电荷晶体管
scum 浮渣
sdfet 肖特基势垒栅场效应晶体管
sea clutter 海面杂乱回波
sea returns 海面反射信号
seal 密封
sealant 密封膏
sealed chamber 密封室
sealed diode 封装的二极管
sealed hybrid 封装的混合集成电路
sealed laser 密封激光器
sealer 密封器
sealing 密封
sealing compound 封口胶
sealing gas 密封气体
sealing glass 封接玻璃
sealing layer 密封层
sealing preform 密封用塑扭塑
sealing schedule 密封程序
seam welding 缝焊
search angle 探测角
search mode 搜私式
search radar 搜俗达
sebl 扫描式电子束刻蚀
secam system secam 制 彩色电视方式
secondary electron 二次电子
secondary electron emission 二次电子放射
secondary electron emitter 二次电子发射电极
secondary electron multiplier 二次电子倍增管
secondary electron yield 二次电子发射系数
secondary emission characteristic 二次放射特性
secondary emission rate 二次发射率
secondary ion mass spectrometry 次级离子质谱分析法
secondary radar 二次雷达
secondary radiation 二次辐射
secondary radiator 二次辐射器
secondary station 次站
secrecy transmission 保密传输
secret information 秘密信息
section 区
sector field mass spectrometer 扇形场质谱仪
sector scanning 扇形扫掠
see through photomask 透免掩模
seebeck effect 塞贝克效应
seed crystal 晶种
seeker 导引头
segmentation 分段
segregation 偏析
seignette salt 酒石酸钾钠
seignette sol 酒石酸钾钠
selection 选择
selection check 选择检验
selective absorber 选择吸收体
selective absorption 选择吸收
selective call 选择呼叫
selective color filter 选择滤色器
selective deposition 选择性沉积
selective diffusion 选择扩散
selective dip soldering 选择浸渍焊接
selective doping 选择掺杂
selective etchant 选择腐蚀剂
selective etching 选择腐蚀
selective fading 选择性衰落
selective interconnection 选择布线
selective jamming 选择性干扰
selective masking 选择屏蔽
selective oxidation process 选择氧化工艺
selective oxide etching 氧化物选择腐蚀
selective pumping 选择抽运
selective relay 选择继电器
selective removal 选择去除
selective signal 选择信号
selective transmittance 选择透射率
selectivity 选择性
selector 选择器
selector relay 选择继电器
selectron 选数管
selenium 硒
selenium cell 硒光电池
self aligned approach 自对准法
self aligned ccd 自对准电荷耦合掐
self aligned contact 自对准接触
self aligned electrode 自对准电极
self aligned gate 自对准栅
self aligned gate fet 自对准栅场效应晶体管
self aligned gate mos 自对准栅金属氧化物半导体
self aligned injector 自对准注入器
self aligned integraed circuit 自对准集成电路
self aligned photoresist process 自对准光刻工艺
self aligned polycide 自对准多晶硅化物
self aligned polysilicon process 自对准多晶硅工艺
self aligned schottky fet 自对准肖特基栅场效应晶体管
self aligned semiconductor device 自对准型半导体掐
self aligned thick oxide 自对准厚氧化膜
self alignment 自对准
self bias 自偏压
self checking integrated circuit 自检集成电路
self convergent yoke 自动会聚偏转线圈
self developing resist 自显影光刻胶
self diagnostics 自诊断学
self excitation 自激
self excitation conditions 自激条件
self excitation threshold 自激阈值
self excited oscillations 自激振荡
self excited sender 自激发射机
self focusing 自聚焦
self focusing beam 自聚焦束
self focusing light 自聚焦光
self focusing lightguide 自聚焦光波导
self focusing property 自聚焦能力
self focusing threshold 自聚焦阈值
self guidance 自制导
self heated thermistor 自热式热敏电阻
self homing 自制导
self impedance 固有阻抗
self induced transparency 自感应透明
self loading 自举电路
self maintained discharge 自持放电
self maintained emission 自持发射
self masking epitaxy 自掩蔽外延
self mode locking 自锁模
self oscillation 自激
self phasing 自动相位稳定
self recording 自动记录的
self registered gate 自对准栅
self regulation 自动控制
self repair 自动恢复
self restoring drop 自复吊牌
self scanning array 自扫描天线阵
self stabilization 自动稳定
self stabilization of phase 自动相位稳定
self supporting film 自保持薄膜
self sustaining emission 自持发射
self synchronization 自动同步
self terminating oxidation 自行终端氧化
self testing 自测
selfoc 自聚焦传光纤维
selfoc fiber 自动聚焦传光纤维
selfoc lightguide 自聚焦光波导
sem 扫描电子显微镜
sem micrograph 扫描电子显微镜照相术
semiadditive process 半添加法
semiautomatic exchange 半自动电话局
semiautomatic placer 半自动放置器
semiconducting glass 半导体玻璃
semiconductive body 半导体衬底
semiconductor 半导体
semiconductor area 半导体区;半导体芯片面积
semiconductor array 半导体阵列
semiconductor assembly 半导体组装
semiconductor billet 半导体晶锭
semiconductor blank 半导体衬底
semiconductor bolometer 半导体辐射热测量器
semiconductor chip 半导体芯片
semiconductor chip carrier 半导体芯片座
semiconductor circuit 半导体电路
semiconductor compound 半导体化合物
semiconductor compound gases 半导体化合物用气体
semiconductor crystal 半导体晶体
semiconductor device 半导体掐
semiconductor diode 半导体二极管
semiconductor display device 半导体显示掐
semiconductor doping 半导体掺杂
semiconductor electronics 半导体电子学
semiconductor encapsulant 半导体密封剂
semiconductor grade silicon 半导体级硅
semiconductor impurity analyzer 半导体杂质分析器
semiconductor industry 半导体工业
semiconductor ingot 半导体晶锭
semiconductor integrated circuit 半导体集成电路
semiconductor laser 半导体激光器
semiconductor laser diode 半导体激光二极管
semiconductor melt 半导体熔融
semiconductor memory 半导体存储器
semiconductor mesa 半导体台面
semiconductor microlithography 半导体显微光刻
semiconductor microprocessor 半导体微处理机
semiconductor noise 半导体噪声
semiconductor on sapphire device 蓝宝石上半导体掐
semiconductor pellet 半导体芯片
semiconductor production line 半导体生产线
semiconductor rectifier 半导体整流
semiconductor resistor 半导体电阻器
semiconductor rod 半导体晶锭
semiconductor slice 半导体薄片
semiconductor storage unit 半导体存储器
semiconductor substrate 半导体衬底
semiconductor switch 半导体开关
semiconductor wafer 半导体薄片
semicustom array 半定制阵列
semicustom chip 半定制芯片
semicustom integrated circuit 半定制集成电路
semicustom lsi 半定制大规模集成电路
semicustom masterslice 半定制母片
semicustom process 半定制集成电路工艺
semicustom route 半定制路由
semidestructive test 半破坏试验
semiduplex 半双工的
semiinsulating substrate 半绝缘衬底
semipermeable membrane 半渗透膜
semireflecting mirror 半反射镜
semirox 半埋入式氧化物
semiself maintained discharge 半自持放电
semitransmitting mirror 半透玫
semitransparent layer 半透勉
semitransparent mirror 半透玫
semitransparent photocathode 半透免电阴极
send 发射
sender 发信机
sending aerial 发射天线
sending antenna 发射天线
sending key 发送电键
sending receiving terminal station 收发终端站
sending station 发信局
sense aerial 辨向天线
sense amplifier 读出放大器
sense antenna 辨向天线
sense finder 无线电罗盘
sense line 读出线
sensibilisation 敏化
sensing unit 敏感元件
sensitive 灵敏的
sensitivity 灵敏度
sensitivity drift 灵敏度漂移
sensitivity limit 灵敏度极限
sensitivity of a pickup 拾音崎敏度
sensitivity test 灵敏度试验
sensitization 激活
sensitizer 激活剂
sensor 传感元件
sentinel 标志
separation 分隔
separation device 分隔装置
separation diffusion 分离扩散
separation filter 分隔滤波器
separation layer 分离层
sequece switch 序轮机
sequence calls 顺序呼叫
sequential color system 顺序彩色电视系统
sequential interlace 顺序隔行扫描
sequential raster 顺序扫描光栅
sequential scanning 顺序扫描
sequential signal 顺序信号
sequential test 顺序检验
sequential transmission 顺序传输
sequnce calling 顺序呼叫
serial transmission 串行传输
series connection 串联
series resonance 串联谐振
serigraphy 丝网漏印法
serrated pulse 顶部有切口的帧同步脉冲;锯齿脉冲
service 服务
service area 服务区
service band 公务波段
service bit 服务位
service call 业务呼叫
service digit 服务位
service life 使用寿命
service observing desk 服务观察台
service quality 服务质量
service telephone 公务电话
set 组
set noise 机内噪声
set point 蝶点
set pulse 置位脉冲
set value 蝶点
settling time 置位时间
setup 装置
setup time 建立时间
severe environment 恶劣环境
sfet 肖特基势垒栅场效应晶体管
sgt 硅栅晶体管
shading 发暗
shading adjustment 黑斑补偿
shading compensating signal 黑斑补偿信号
shading generator 黑斑补偿信号发生器
shading signal 寄生信号
shadow 阴影
shadow area 盲区
shadow effect 山地效应
shadow mask 障板
shadow mask type color picture tube 荫罩式彩色显象管
shadow region 盲区
shallow acceptor 浅受主
shallow chip structure 芯片浅结构
shallow diode 浅结二极管
shallow diode array 浅结二极管阵列
shallow donor 浅施主
shallow emitter 浅结发射极
shallow junction 浅结
shallow level 浅能级
shallow level impurity 浅能级杂质
shallow oxide 半埋入式氧化物
shallow trap 浅陷阱
shallow v groove 浅 v 型槽
shaped beam 定形射束
shaping network 整形网络
sharp edge 陡沿
sharp etching 陡沿腐蚀
sharp image 清晰图象
sharp junction 突变结
sharpness 清晰度
shear 切变
shear force 剪力
shear visual control 切变肉眼检查
sheath 管状屏极
sheet 膜
sheet antenna 平顶天线
sheet conductivity 薄层导电率
sheet resistance 薄层电阻
sheet resistivity 薄层电阻率
shelf life 搁置寿命
shell 壳
shell electron 轨道电子
shell with vacancy 未填满壳层
shield electrode 保护电极
shield grid 抑制栅
shielded cable 屏蔽电缆
shielded line 屏蔽线
shielding 屏蔽
shielding film 屏蔽膜
shift 位移
shift register 移位寄存器
ship radar 船用雷达
shipboard antenna 船用天线
shipboard communication system 船载通信系统
shock compression 冲还缩
shock excitation 冲护励
shock resistance 耐冲辉
shock velocity 激波速度
shock wave 中花
shock wave heating 激波加热
shock wave implosion 冲花内爆
shockley equation 肖克莱方程
shockley partial dislocation 肖克莱部分位错
shockley read hall recombination 肖克莱 里德 霍尔复合
shoran 肖兰
shore based radar 海岸雷达
short calling 快速呼叫
short channel fet 短沟道场效应晶体管
short circuit current 短路电流
short circuit flux 短路磁通
short circuiting 短路
short distance 短途
short distance scatter 近距散射
short duration light source 短时光源
short haul 短途
short lifetime 短寿命
short period superlattice 短周期超晶格
short range navigation 近程导航
short range navigation system 近程导航系统
short term drift 短时间漂移
short wave aerial 短波天线
short wave antenna 短波天线
short wave transmitter 短波发射机
shortening condenser 缩短电容器
shorting region 短路区
shot effect 散粒效应
shot noise 散粒噪声
shrinkage 收缩
shsic 超高速集成电路
shunt admittance 并联导纳
shutter 快门
si mesfet 硅 金属半导体场效应晶体管
sic 半导体集成电路
side circuit 实线线路
side frequency 边频
side lobe 旁瓣
side lobe level 旁瓣电平
side lock 边带锁定
side tone 侧音
sideband 边带
sideband suppression 边带抑制
sideetching 侧向腐蚀
sidetone 侧音
sidewall 侧壁
sidewall angle 侧壁腐蚀角
sidewall masking 侧壁掩蔽
sidewall oxide 侧壁氧化层
sideways diffusion 横向扩散
sideways etch 侧向腐蚀
sigfet 硅绝缘栅场效应晶体管
sign 符号
signal 信号
signal amplitude 信号幅度
signal channel 信道
signal code 信号电码
signal compression 信号压缩
signal detection 信号检测
signal duration 信号持续时间
signal electrode 信号电极
signal frequency 信号频率
signal identification 信号识别
signal level 信号电平
signal magnitude 信号幅度
signal mixture amplification 信号混合放大
signal normalization 信号规范化
signal plate 信号板
signal power 信号功率
signal processor 信号处理机
signal propagation velocity 信号传播速度
signal quantization 信号量子化
signal regeneration 信号再生
signal reshaping 信号再生
signal separator 信号分离器
signal source 信号源
signal spreading 信号扩展
signal standardization 信号标准化
signal structure 信号结构
signal threshold 信号阈
signal to jamming ratio 信噪比
signal to noise ratio 随机杂波信杂比
signal transmission speed 信号发送速率
signal velocity 信号传播速度
signal wave 信号波
signal wire 信号线
signaling rate 信号发送速率
signalling 发信号
signalling channel 信号通路
signalling equipment 信号设备
signalling system 传信系统
signalling test 发信试验
significance level 显著水平
sil lead frame 单列直插式引线框
silane cvd 硅烷化学汽相淀积
silane discharge 硅烷放电
silane pyrolysis 硅烷热解
silencer 消声器
silent pause 静止时间
silent period 静止时间
silent zone 石英灯
silica 二氧化硅
silicate glass 硅酸盐玻璃
silicide metallization 硅化物金属化
silicided drain 硅化物漏极
silicided source 硅化物源极
silicon 硅
silicon adhesive 硅粘合剂
silicon base 硅衬底
silicon body 硅衬底
silicon chip area 硅芯片面积
silicon compiler 硅版编辑器
silicon controlled rectifier 可控硅整流
silicon cratering 硅弹坑形成
silicon diode 硅二极管
silicon dioxide 二氧化硅
silicon dioxide etching 二氧化硅腐蚀
silicon dioxide masking 二氧化硅掩蔽
silicon doping 硅掺杂
silicon epitaxy 硅外延
silicon etch solution 硅腐蚀液
silicon etchant 硅腐蚀剂
silicon gate 硅栅
silicon gate mos process 硅栅金属氧化物半导体工艺
silicon gate self aligned junction isolated cmos 硅栅自对准结隔离互补金属氧化物半导体
silicon gate structure 硅栅结构
silicon gate technology 硅栅金属氧化物半导体工艺
silicon gate transistor 硅栅晶体管
silicon in insulator 绝缘体内硅结构
silicon in insulator technology 绝缘体内硅技术
silicon in sapphire 蓝宝石内硅结构
silicon ingot 硅锭
silicon insulated gate fet 硅绝缘栅场效应晶体管
silicon insulator structure 绝缘体上硅结构
silicon integrated circuit 硅集成电路
silicon layout 硅集成电路布图
silicon molecular beam epitaxy 硅分子束外延
silicon monooxide 一氧化硅
silicon nitride 氮化硅
silicon nitride mask 氮化硅掩膜
silicon nitride passivation 氮化硅钝化
silicon nitride substrate 氮化硅层衬底
silicon on dielectric 绝缘体上硅结构
silicon on insulated substrate 绝缘体上硅结构
silicon on insulator 绝缘体上硅结构
silicon on insulator material 绝缘体上硅结构材料
silicon on sapphire 蓝宝石上硅
silicon on sapphire integrated circuit 蓝宝石上硅集成电路
silicon on sapphire large scale integration 蓝宝石上硅大规模集成电路
silicon on sapphire ribbon 蓝宝石上硅带
silicon on spinel 尖晶石上硅
silicon oxide 氧化硅
silicon polycrystal 多晶硅
silicon rectifier 硅整流
silicon sapphire interface 硅 蓝宝石界面
silicon single crystal 硅单晶
silicon slice 硅片
silicon source gas 硅气体源
silicon transistor 硅晶体管
silicon vidicon 硅光导摄象管
silicon wafer engineering 硅片工程
silicone 硅
silicone compound 硅
silicone encapsulant 硅密封剂
silicone encapsulation 硅酮尸密封
silicone grease 硅脂
silicone resin 硅尸
silk screened circuit 丝网印制电路
silk screening 丝网漏印法
silox 氧化硅
silox pinhole 二氧化硅层针孔
silver 银
silver based package 银基管壳
silver ceramic adhesion 银 陶瓷粘附
silver filled adhesive 填银粘合剂
silver optical record 银光学记录
silver oxygen cesium photocathode 银氧铯光电阴极
simos 叠栅注入金属氧化物半导体
simplex circuit 单工电路
simplex system 单工制
simplex telegraphy 单工电报
simplex transmission 单工传输
simplex working 单工通报
sims 次级离子质谱分析法
simulation 仿真
simulation technique 模拟技术
simulator 模拟器
simultaneous broadcasting 辅联播
simultaneous out diffusion 同时向外扩散
simultaneous transmission 同时传输
singing 啸声
single acceleration 单加速
single aluminum memory cell 单层铝金属化式存储单元
single channel mos 单沟道金属氧化物半导体
single chip bubble 单芯片磁泡掐
single chip circuit 单片电路
single chip microprocessor 单片微处理器
single component 单组分
single cord 单塞绳
single crystal 单晶
single crystal device 单晶掐
single crystal film 单晶膜
single crystal growth 单晶生长
single crystal ingot 单晶锭
single crystal rod 单晶锭
single crystal semiconductor 单晶半导体
single crystal wire 单晶线
single current signalling 单哩报
single current system 单疗
single device mos gate 单金属氧化物半导体晶体管式门电路
single device well 隔离阱
single diffusion 单扩散
single dislocation 单个位错
single electron spectrum 单电子谱
single frequency laser 单频激光器
single frequency laser oscillation 单频激光振荡
single frequency lasing 单频激光振荡
single in line package 单列直插式组件
single injection 单注入
single ion implantation 单离子注入
single ionization 单电离
single layer metallization 单层金属化
single layer structure 单层结构
single level gate 单逻辑电平门电路
single mask method 单掩模法
single mode fiber 单模光纤
single mode film 单模式膜
single mode laser 单模激光器
single mode laser oscillation 单模激光振荡
single mode lasing 单模激光振荡
single mode lightguide 单模光波导
single pass loss 单程损耗
single pass system 单撂系统
single pattern photomask 单图式光掩模
single poly gate 单晶 多晶栅
single poly process 单一多晶工艺
single pulse 单脉冲
single pulse energy 单脉冲能
single pulse gain 单脉冲增益
single pulse mode 单脉冲模式
single shot blocking oscillator 单稳间歇振荡器
single shot trigger 单稳态触发器
single side band 单边带
single side band transmission 单边带传输
single sideband modulation 单边带灯
single sideband modulator 单边带灯器
single sideband suppressed carrier system 单边带抑制载波系统
single sideband transmission 单边带传输
single sideband transmitter 单边带发射机
single sided board 单面印板
single sided rack 单面机架
single stage image tube 单级显象管
single stub tuner 单短线党器
single sweep 单扫描
single transistor memory cell 单晶体管存储单元
single transition laser 单跃迁激光器
single transverse mode laser 单横向模式激光器
single wafer plasma system 单晶片处理式等离子装置
single wafer processing 单晶片处理
single wire line 单线线路
single wire transmission line 单线传输线
sink 散热器
sintered cathode 烧结阴极
sintering 烧结
sintering furnace 烧结炉
sio3capping 二氧化硅复盖层形成
sip 单列直插式组件
sip filter 单列直插式外壳滤波器
siphon recorder 波纹收报机
sis technology sis技术
sit 静电感应晶体管
site 位置
site aligner 步进重复对准器
site by site alignment 步进重复对准
size 尺寸
size control 尺寸控制
size effect 尺寸效应
size of grain 粒度
skew 变形;时滞
skiatron 记录暗迹的阴极射线管
skip distance 越距
skip zone 石英灯
sky radiance 天空亮度
sky wave 天波
slab 音节
slam 单层金属化
slant distance 斜距
slanted side 倾斜侧壁
slave 从属
slave lock 台从锁相
sleeve antenna 装在同轴管中的偶极天线
sleeve dipole 同轴偶极子
slice 片
slice architecture 位片结构
slice microprocessor 位片微处理机
slice processing sequence 晶片工艺步骤
slice resistivity 晶片电阻率
slice to slice reproducibility 薄片间重现性
slicer 切片机
slicer blade 切片机刀片
slicing 切片
slip 滑致
slit cathode 裂缝阴极
slit type shadow mask 缝隙式荫罩
sloped sidewall 倾斜侧壁
slot 槽
slot antenna 缝隙天线
slot quadrupole lens 缝隙式四极透镜
slot radiator 隙缝辐射器
slotted cylinder antenna 圆柱形缝隙天线
slotted line 开槽测试线
slotted measuring line 开缝测量线
slotted waveguide 开槽波导管
slow device 慢速掐
slow electron 慢电子
slow scan television system 慢扫描电视系统
slow wave structure 慢波结构
slug tuning 铁心党
slurry polishing 软膏抛光
sm 表面装配
sma 表面装配
small gap material 窄禁带材料
small outline package 小型外壳
small outline package integrated circuit 小块集成电路
small scale integrated circuit 小规模集成电路
small scale integration 小规模集成度
small scale integration level 小规模集成度
small signal impedance 小信号阻抗
small single gain 小信号增益
smaser 亚毫米波激射器
smectic liquid crystal 蝶状液晶
smoothing choke 平滑扼力
smoothing circuit 平滑电路
smoothing coil 平滑扼力
smoothing device 平滑装置
smoothing filter 平滑滤波器
smoothing resistor 平滑电阻
snap off diode 阶跃恢复二极管
snow 雪化干扰
snr 随机杂波信杂比
so 小型外壳
socket 插座
sodium 钠
soft contact aligner 软接触对准器
soft contact lithography 软接触式光刻
soft gamma 软射线
soft junction 软结
soft solder 软焊条
soft superconductor 软超导体
soft x rays 软 x 射线
software 软件
software controlled machine 软件控制装置
software driven microcomputer 程序控制微型计算机
software engineering 软件工程
soi 绝缘体上硅结构
soi integrated circuit 绝缘体上硅结构集成电路
soi process 绝缘体上硅技术
soi substrate 绝缘体上硅结构衬底
soi technology 绝缘体上硅技术
soic 小块集成电路
solar array 太阳能电池阵
solar blind photodetector 太阳隐蔽光探测器
solar cell 太阳电池
solar energy converter 太阳能转换器
solar noise 太阳噪声
solar powered telephone 太阳能电话
solar pumping 日光抽运
solar ultraviolet 太阳紫外线
solder 焊料
solder alloy 焊料合金
solder bond 焊接接缝
solder bump 焊料隆起焊盘
solder coater 焊料涂敷装置
solder cream 焊膏
solder evacuator device 焊料排出装置
solder fusing 焊料熔化
solder fusion system 焊料熔化装置
solder glass 焊料玻璃
solder joint 焊接接缝
solder mask 焊接掩模
solder pad 焊料隆起焊盘
solder paste 焊膏
solder sealer 焊封装置
solder sealing 焊封
soldering 焊接
soldering flux 助焊剂
soldering machine 焊接机
solderless bond 无焊连接
solderless joint 无焊连接
solenoidal magnetic field 螺线管磁场
solid body 固体
solid circuit 固态电路
solid phase 固相
solid phase epitaxy 固相外延
solid photoresist 固体光刻胶
solid solubility 固熔度
solid solution 固溶体
solid source 固体源
solid state 固态
solid state circuit 固态电路
solid state component 固体组件
solid state device 固态掐
solid state diffusion 固态扩散
solid state display 固体显示器
solid state electronics 固体电子学
solid state epitaxy 固相外延
solid state imager 固体成象器
solid state laser 固体激光器
solid state logic 固体逻辑
solid state physics 固体物理学
solid state reaction 固态反应
solid state switch 半导体开关
solid state technology 固体技术
solid surface 固体表面
solidification 凝固
solidus curve 固相线
solidus line 固相线
solidus temperature 固相线温度
solubility 可溶性
solubility temperature curve 溶解度 温度曲线
solute doping 溶液掺杂
solution 溶液
solvent 溶剂
solvent cleaning 溶剂清洗
solvent reclamation 溶剂再生
solvent recuperation 溶剂再生
sonar 声纳
sonic holography 声波全息术
sonohologram 声波全息照相
sonoholography 声波全息术
sop 选择氧化工艺
sorption 吸附
sorption pump 吸附泵
sorter 分类器分选机
sorting 分类
sos 蓝宝石上硅
sos approach 蓝宝石上硅技术
sos cmos 蓝宝石上硅互补金属氧化物半导体
sos cmos process 蓝宝石上硅互补金属氧化物半导体工艺
sos complementary metal oxide semiconductor 蓝宝石上硅互补金属氧化物半导体
sos island 蓝宝石上硅岛
sos isolation technique 蓝宝石上硅结构隔离技术
sos lsi 蓝宝石上硅大规模集成电路
sos structure 蓝宝石上硅结构
sos substrate 蓝宝石上硅结构衬底
sos transistor 蓝宝石上硅结构晶体管
sos wafer 蓝宝石上硅结构薄片
sosic 蓝宝石上硅集成电路
sound 声
sound carrier 伴音载波
sound channel 伴音信道
sound level 声级
sound pressure 声压
sound pressure level 声压级
sound radar 声波定位器
sound recording 录音
sound trap 声阱
sound volume 音量
sound wave 音波
source 源极
source channel junction 源 沟结
source current 源电流
source drain diode 源极 漏极二极管
source electrode 源极
source follower amplifier 源输出放大器
source of the disturbance 干扰源
source oven 源炉
source region 源区
source substrate junction 源极 衬底结
space 空间
space charge 空间电荷
space charge accumulation 空间电荷积累
space charge cloud 空间电荷云
space charge density 空间电荷密度
space charge effect 空间电荷效应
space charge field 空间电荷场
space charge grid 空间电荷栅极
space charge limited current 空间电荷限制电流
space charge limited diode 空间电荷限制二极管
space charge neutralization 空间电荷中和
space charge region 空间电荷区
space charge repulsion 空间电荷排斥
space charge zone 空间电荷区
space chip 宇宙芯片
space communication 宇宙通信
space current 空间电流
space factor 线圈间隙因数
space imagery 宇宙图象接收
space laser communications 激光宇宙通信
space navigation 宇宙导航
space station 宇宙站
space television 空间电视
space wave 空间波
spaced alignment 接近式对准
spacer 垫片
spacer layer 分隔层
spacing 间距;间隔
spacing current 间隔电流
spacistor 雪崩注入二极管
span 酌距离
spare circuit 备用电路
spare part 备件
spark 火花
spark coil 点火线圈
spark coil leak detector 火花检漏器
spark discharge 火花放电
spark gap 火花间隙
spark sender 火花发送机
spark telegraphy 火花电报
spark transmitter 火花发送机
sparker 点火线圈
spatial coherence 空间相干性
spatial resolution 空间分辨率
spatial resolving power 空间分辨能力
spatial switching 空间转换
spatially coherent light 空间相干光
spe 固相外延
speaking key 交谈电键
special effects 特殊效应
special effects amplifier 特殊效应放大器
specific conductance 电导率
specific conductivity 电导率
specific detectivity 比探测能力
specific emission 放射率
specific heat 比热
specific resistance 电阻率
specified life 规定寿命
specimen 标本
spectral band 光谱带
spectral characteristic 光谱特性
spectral line 光谱线
spectral line broadening 谱线增宽
spectral line profile 谱线分布图
spectral response 光谱灵敏度
spectral response characteristic 光谱响应特性
spectral responsivity 光谱响应度
spectral sensitivity 光谱灵敏度
spectral structure 谱线结构
spectrum 谱
spectrum analyzer 质谱分析仪
spectrum widening 光谱展宽
spectrum width 光谱宽度
speech channel 电话信道
speech chip 语言合成凭片
speech generator 语音发生器语言合成器
speech quality 声音品质
speech recognition 语言识别
speech signal 语言信号
speech synthesis 语言合成
speech synthesizer 语音发生器语言合成器
speech transmission 语言传送
speed 速率
speed calling 快速呼叫
speed characteristic 速度特性
speed flutuation 速度变动
speed gain 速度增益
speed of photoresponse 光响应速度
speed of response 响应速度
speed of transmission 传送速度
speed performance 速度特性
sphalerite 闪锌矿
spherical aberration 球面象差
spherical cavity 球形谐振腔
spherical mirror resonator 球面镜谐振腔
spherical reproducing stylus tip 球形唱针头
spherical wave 球面波
spider bonding technology 蛛网状焊接技术
spider lead 蛛网状引线
spider lead frame 蛛网状引线框
spin 旋涂
spin dry wafer 旋转干噪薄片
spin flip 自旋反转
spin flip conversion 自旋反转
spin flip laser 自旋反转激光器
spin flip raman laser 自旋转向喇曼激光器
spinner 旋涂器
spiral growth 螺旋形生长
spiral scanning 螺旋扫描
spiral sweep 螺旋形扫描
splash free evaporation 无溅射蒸发
splice 连接套管
split anode magnetron 分瓣阳极磁控管
split picture 分裂的图象
splitting 分裂
splitting key 切断按钮
splitting of energy levels 能级分裂
splitting of spectral lines 光谱线分裂
splitting system 分裂系统
spontaneous discharge 自发放电
spontaneous luminescence 自发发光
spontaneous mode locking 自发模锁定
spontaneous nucleation 自发成核
spontaneous radiation 自发辐射
spontaneous transition 自发跃迁
spontaneous transition probability 自发跃迁几率
spontaneous whisker growth 自发的须状晶体生长
sporadic e layer 分散e层
sporadic reflections 异常反射
spot 点
spot defect 点缺陷
spot jamming 定点干扰
spot size 黑子大小
spot soldering 点焊
spot welding laser machine 点焊用激光器
spray developer 喷射显影器
spray development 喷射显影
sprayed cathode 喷涂阴极
sprayed coating 喷敷层
spraying 喷涂
spread reflection 漫反射
spreading resistance 扩展电阻
spring 转移
spurious inductance 寄生电感
spurious modulation 寄生灯
spurious radiation 寄生辐射
spurious response 假信号
spurious signal 乱真信号
sputter cleaning 溅射清洗
sputter deposition 溅射淀积
sputter etching 溅射蚀刻
sputter gun 溅射用电子枪
sputter ion pump 离子溅射泵
sputter reactor 溅射反应器
sputtered film 喷镀薄膜
sputterer 溅射反应器
sputtering 溅射
sputtering chamber 溅射室
sputtering of metal 金属喷镀
sputtering source 溅射源
sputtering target 溅射靶
sputtering yield 溅射效率
square law capacitor 平方律可变电容器直线波长式可变电容器
square law condenser 平方律可变电容器直线波长式可变电容器
square law detection 平方律检波
square law detector 平方律检波器
square pulse 矩形脉冲
square silicon ingot 正方形截面硅晶锭
square topped pulse 矩形脉冲
square wave 矩形波
square wave generator 方波发生器
squaring circuit 方波整型电路
squeezable waveguide 可压缩波异管
squelch circuit 无噪声党电路
squid 超导量子干涉掐
squint 偏斜
squirrel cage magnetron 鼠笼式磁控管
sr 移位寄存器
sran 静态随机存取存储器
srd 阶跃恢复二极管
srg process 自对准栅工艺
ssc 固体电路
sse 固体电子学
ssi 标准规模集成化
ssic 标准规模集成电路
ssl 固体逻辑
sst 固体技术
ssu 半导体存储器
stability 稳定度
stability region 稳定区
stabilization 稳定
stabilized relativistic electron beam 稳定相对论的电子束
stabilizing annealing 稳定性退火
stabilotron 季荥
stable cavity 稳定谐振腔
stable equilibrium phase 稳定平衡相位
stable orbit 稳定轨道
stable orbit contraction 稳定轨道收缩
stable orbit expansion 稳定轨道扩展
stable resonator 稳定谐振器
stable state 稳态
stack 组套
stack antenna 叠排天线
stacked aerial 多层天线
stacked antenna 多层天线
stacked arrangement 多层结构
stacked complementary mos 叠栅互补金属氧化物半导体
stacked gate injection mos 叠栅注入金属氧化物半导体
stacking fault 堆垛层错
stage 级
stage of amplification 放大级
stage working area x y 平板工坐
staged diffusion technique 多重扩散技术
stagger tuned amplifier 参差党放大器
stagger tuning 串联党
staggered circuits 相互失谐级电路
staggering 参差党
staining technique 染色法
staircase generator 阶梯波发生器
staircase signal 阶梯信号
stalk 晶体管管座
stand 台
standard 标准
standard buried collector 标准埋层集极
standard cell circuit 标准单元电路
standard component 标准件
standard element 标准件
standard frequency station 标准频率电台
standard measuring signal 标准测试信号
standard pattern 标准图案
standard propagation 正常传播
standard pulse generator 标准脉冲发生器
standard scale integrated circuit 标准规模集成电路
standard scale integration 标准规模集成化
standard sync signal 标准同步信号
standard television signal 标准电视信号
standards conversion 标准变换
standby unit 备用部件
standing wave 驻波
standing wave aerial 驻波天线
standing wave antenna 驻波天线
standing wave indicator 驻波指示器
standing wave linear resonance accelerator 驻波线性谐振加速器
standing wave ratio 驻波比
star network 星状网
star shaped network 星状网
starlight viewer 夜视仪
start signal 起始信号
start stop system 起止式
start stop teleprinter 起止式电传打字机
starting pulse 触发发脉冲
state 状态
state density 态密度
state diagram 状态图
static burn in 静态老化
static cell 静态元件
static characteristic 静态特性
static charge 静电荷
static electricity 静电
static elimination 静电消除
static error 静态误差
static induction transistor 静电感应晶体管
static memory 静态存储器
static microphone 静电传声器
static random access memory 静态随机存取存储器
statics 天电
station to station call 局间呼叫
stationary error 静态误差
stationary state 稳态
stationary wave 驻波
statistical multiplexer 统计多路转换器统计复用器
status 状态
stcmos 叠栅互补金属氧化物半导体
steady state error 静态误差
steady state oscillations 稳态振荡
steady state value 稳态值
steam oxidation 蒸汽氧化
steerable antenna 方向性可控制的天线
steering circuit 控制电路
stem 晶体管管座
step 阶梯
step and repeat aligner 步进重复对准器
step and repeat camera 步进重复照相机
step and repeat equipment 步进重复装置
step and repeat exposure 步进重复曝光
step and repeat lens 步进重复照相机镜头
step and repeat lithography 步进重复光刻
step and repeat mask 步进重复曝光掩模
step and repeat mechanism 步进重复移位装置
step and repeat multiplication 步进重复倍增
step and repeat photography 步进重复照相术
step and repeat projection 步进重复投影
step attenuator 步进衰减器分压器
step by step aligner 步进重复对准器
step by step assembly 逐步组装
step by step exchange 步进式电话交换局
step coverage 阶梯覆盖
step forced response 阶扰响应
step function response 阶扰响应
step graded index lightguide 阶梯折射率剖面光波导
step growth 阶梯式生长
step index fiber 阶梯折射率纤维
step junction 突变结
step printing 步进式曝光
step recovery diode 阶跃恢复二极管
step response 阶扰响应
step transition 阶梯跃变
step tuning 阶梯式党
stepped oxide ccd 阶梯氧化物型电荷耦合掐
stepper aligner 步进重复对准器
stepper lithography 步进重复光刻
stereo amplifier 立体声放大器
stereo holography 立体全息照相术
stereo speaker system 立体声杨声频统
stereodecoder 立体声解码器
stereomicroscopy 立体显微镜
stereophonic modulation 立体声灯
stereophonic receiver 立体声收音机
stereophonic record 立体声唱片
stereophonic recording 立体声录音
stereophonic reproduction 立体声放音
stereophonic system 立体声系统
stereophonic transmission 立体声传输
stereophony 立体音响
stereoscopic image 立体象
stereoscopic television 立体电视
stereosound 立体声
sticking 残留影象
sticking coefficient 粘着系数
sticking probability 粘附几率
stillage 台
stimulated luminescence 受激发光
stimulated radiation 受激辐射
stimulated radiation threshold 受激辐射阈值
stimulated spin flip raman scattering 喇曼散射
stimulated spin flip scattering 受激自旋反转散射
stimulated transition 受激跃迁
stimulation 激励
stimulus 激励
stitch bonding 针脚式焊
stitching 缝合
stochastic acceleration 随机加速
stockes line 斯托克斯线
stoichiometric impurity 化学计量杂质
stoichiometric ratio 化学计量比
stop 停止
stop element 停止码元
stopper circuit 带除滤波器
stopping layer 停蚀层
stopping potential 遏止电位
storage 存储
storage box 贮藏箱
storage camera tube 积储式摄象管
storage capacitor 存储电容器
storage capacity 存储容量
storage cathode ray tube 存储式阴极射线管
storage cell 存储单元
storage density 存储密度
storage element 存储元素
storage life 存储寿命
storage medium 存储介质
storage mode 存贮方式
storage oscilloscope 记忆示波器
storage ring 存贮环
storage system 存储器存储系统
storage target 储荷靶
storage time 积累时间
storage tube 存储管
store 记亿设备
stored charge 积累电荷
stored energy 储能
stored program 内存程序
stored program control 存储程序控制
str 选通脉冲
straight line detection 线性检波
straight path 直线路径
straight receiver 高放式接收器
strain gauge 应变规
strainght line detector 线性检波器
strapping 多腔磁控管空腔间的异体耦合系统
stratosphere 平零
stratovision 在同温层转播的电视
stray capacitance 寄生电容
stray current 杂散电流
stray emission 杂散发射
stray inductance 杂散电感
stray radiation 杂散损射
streaking 图象拖尾
stream velocity 临
streamer discharge 菱式放电
street 芯片间隔
strength 强度
stress 应力
striation free coating 无条纹涂敷
striations 光条纹
striking current 起弧电流
strip 带
strip geometry heterostructure 带形异质结构
strip geometry laser 带状激光器
strip heater 带状加热器
strip lightguide 带状光波导
strip transistor 带型晶体管
stripe 带
stripline antenna 带状线天线
stripline circulator 带状线循环器带状线衰减器
stripline filter 带状线滤波器
stripline power divider 带状线功率分配器
stripline resonator 带状线谐振器
stripped atom 剥脱原子
stripper 去层器去膜剂
stripping 除去
stripping solution 去膜溶液
strobe 选通脉冲
strobe pulse 选通脉冲
strobing pulse 选通脉冲
stroboscopic effect 频闪效应
stroboscopic tube 频闪观测管
stroke 笔划
strong focusing 强聚焦
strong focusing principle 强聚焦原理
strong focusing synchrotron 强聚焦同步加速器
structural design 结构设计
structural efficiency 结构强度
structural imperfection 结构不完整性
structural strength 结构强度
structural test 结构试验
structure 结构
structure failure 结构故障
structure formation 结构形成
structure irregularity 结构不规则性
structure silicon on isolant 绝缘体上硅结构
structuring 结构化
sttl 肖特基晶体管 晶体管逻辑
stub antenna 短截线天线
stub tuner 短线党器
stud 电钮
studio 演播室
studio broadcast 播音室广播
studio equipment 播音室设备
stuffing 装载
stylus 尖笔
stylus drag 唱针阻力
subassembly 子配件
subaudio telegraphy 亚音频电报
subcarrier 副载波
subcarrier balance 副载波平衡
subcarrier frequency 副载频
subcarrier generator 副载波发生器
subcarrier regenerator 副载波再生器
subcarrier sideband 副载波边带
subchannel 分通道
subcooling 低温冷却
subdivided capacitor 电容箱
subframe 副帧
subharmonic 分谐波
sublattice 亚晶格
sublayer 底层
sublevel 次能级
sublimation pump 升华泵
submarine cable 海底电缆
submarine telegraphy 水底电缆电报
submerged aerial 水中天线
submicrometer processing 亚微米工艺
submicrometer resolution 亚微米分辨力
submicrometer structure 亚微米结构
submicron 亚微米
submicron channel width 亚微米沟道宽度
submicron conductor 亚微米导线
submicron film 亚微米膜
submicron integrated circuit 亚微米集成电路
submicron lithography 亚微米光刻
submicron mask aligner 亚微米掩模对准器
submicron patterning 亚微米图案形成
submicron scale mos device 亚微米尺寸金属氧化物半导体掐
submicron technology 亚微米技术
submillimeter laser 亚毫米激光器
submillimeter maser 亚毫米脉泽
subminiaturization 超小型化
subnanosecond device 亚毫微秒速度掐
subnanosecond performance 亚毫微秒工作
subnanosecond technology 亚毫微秒技术
subscriber 用户
subscriber behaviour 用户状态
subscriber device 用户电话机
subscriber line 用户线
subscriber loop 用户回线
subscriber number 用户号码
subscriber set 用户电话机
subscriber station 用户终端
subscriber telegraph device 用户电报装置
subscriber terminal 用户终端
subsequent pulses 脉冲串
subset 用户电话机
substandard propagation 亚标准传播
substation 分局
substitution 替代
substitution atom 替代原子
substitution defect 替代缺陷
substitution imperfetion 替代缺陷
substitution measurement 置换测量
substitution reaction 置换反应
substitutional diffusion 替代式扩散
substitutional impurity 替代式杂质
substrate 基片
substrate bias 衬底偏置
substrate contact region 衬底接触区
substrate cracking 衬底断裂
substrate dicing saw 衬底切割锯
substrate diffusion 衬底扩散
substrate film 衬底膜
substrate holder 衬底架
substrate impurity 衬底杂质
substrate layer 衬底层
substrate leakage 衬底漏电
substrate material 衬底材料
substrate parallelism 衬底平行度
substrate preparation 衬底预加工
substrate scriber 衬底划线器
substrate strength 衬底强度
substructure 底层结构
subsystem 子系统
successive transition 连续跃迁
suhl effect 苏尔效应
summator 加法电路
summing stage 加法级
sun pumped laser 日光泵激激光器
sun pumping 日光抽运
superabundance 冗余
superaudio 超音频的
superaudio telegraphy 超音频电报
supercell 超晶胞
superchip 高密度芯片
superclean room 超净室
superconducting electronics 超导电子学
superconducting element 超导元件
superconducting integrated circuit 超导集成电路
superconducting laser 超导激光器
superconducting layer 超导层
superconducting logic 超导逻辑
superconducting quantum interference device 超导量子干涉掐
superconducting resonator 超导谐振器
superconducting state 超导态
superconduction current 超导电流
superconductive layer 超导层
superconductive resonator 超导谐振器
superconductivity 超导性
superconductor 超导体
supercooling 过冷
supercurrent 超导电流
superdense memory 超密度存储器
superficial anneal 表面退火
supergain transistor 超增益晶体管
supergroup 超群
supergroup equipment 超群装置
superheterodyne 超外差
superheterodyne receiver 超外差式接收机
superhigh speed integrated circuit 超高速集成电路
supericonoscope 超光电析象管
superimposed images 重叠图象
superimposed layers 叠加层
superimposing 迭加
superisocon 分笼摄象管
superlarge scale integration 超大规模集成
superlattice 超点阵
superlow power schottky ttl 超低功率肖特基晶体管晶体管逻辑
superluminescent diode 超发光二极管
superorthicon 超正析象管
superposition 迭加
superradiant laser 超辐射激光器
superradiant transition 超辐射跃迁
superrefraction 超折射
superregeneration 超再生
superregenerative receiver 超再生式接收机
superregenerative reception 超再生接收
supersaturation coefficient 过饱和系数
supersonic 超声波的
superstructure 超点阵
supertelephonic telegraphy 超音频电报
superturnstile aerial 超绕杆式天线
superturnstile antenna 超绕杆式电视天线
supervacuum chamber 超高真空室
supervisor 监察员
supervisory lamp 监视灯
supervisory relay 监视继电器
supervisory signal 监视信号
supply line 馈电线
supply unit 电源部件
supply voltage 供给电压
support 载波
support chip 支片
support hardware 辅助设备
support lead 框架引线
support rod 载体棒
suppressed carrier 抑制载波
suppressed carrier operation 抑制载波工作
suppressed carrier transmission 载波抑制式传输
suppressed carrier transmitter 载波抑制式发射机
suppressed sideband 抑制边带
suppression 抑制
suppression of disturbance signal 干扰信号抑制
suppressor 抑制栅
suppressor grid 抑制栅极
surface 表面
surface acoustic wave 表面声波
surface acoustic wave filter 表面声波滤波器
surface acoustic waves 表面声波
surface barrier 表面势垒
surface barrier transistor 表面势垒晶体管
surface breakdown 表面哗
surface channel 表面沟道
surface channel ccd 表面沟道电荷耦合掐
surface charge coupled device 表面电荷耦合掐
surface charge transistor 表面电荷晶体管
surface density 表面密度
surface diffusion 表面扩散
surface dislocation 表面位错
surface doping 表面掺杂
surface duct 地面波导
surface field 表面电场
surface finish 表面光洁处理
surface grinding 表面研磨
surface guide e 地面波导
surface induction 表面感应
surface ionization 表面电离
surface irregularity 表面不均匀性
surface junction 表面结
surface layer 表面层
surface leakage 表面漏泄
surface migration 表面徙动
surface mobility 表面迁移率
surface mount assembler 表面装配器
surface mounted assembly 表面装配
surface mounted placer 表面装配器
surface mounting 表面装配
surface noise 表面噪声
surface of separation 界面
surface passivated transistor 表面钝化晶体管
surface passivation 表面钝化
surface photoeffect 表面光电效应
surface photovoltage 表面光电压
surface potential barrier 表面势垒
surface profile 表面轮廓
surface profiling 表面轮廓形成
surface recombination 表面复合
surface recombination rate 表面复合率
surface relief pattern 表面起伏图
surface resistivity 表面电阻率
surface resonator 表面波谐振器
surface roughness 表面粗糙度
surface state concentration 表面状态浓度
surface states 表面态
surface structure 表面结构
surface tension 表面张力
surface treatment 表面处理
surface wave 表面波
surface wave antenna 表面波天线
surface wave delay line 表面波延迟线
surface wave filter 表面波滤波器
surface wave guide 表面波波导
surface wide stripping 全表面脱胶
surge capability 过载能力
surge impedance 特件阻抗
surveillance radar 鉴视雷达;监视雷达
susceptance 电纳
susceptibility 磁化率
sustained oscillations 持续振荡
sweep 扫描
sweep amplifier 扫描放大器
sweep circuit 扫描电路
sweep frequency 扫描频率
sweep voltage 扫描电压
swelling 膨胀
switch 开关
switch hook 挂钩开关
switch martrix 开关矩阵
switch room 机键室
switchboard 交换机
switched line 交换线路
switching 转换
switching device 开关掐
switching diode 开关二极管
switching network 开关网络
switching node 转换节点
switching photodiode 开关光电二极管
switching system 开关系统
switching time 开关时间
switching transistor 开关晶体管
switching tube 开关管
syllable 音节
syllabric articulation 音节清晰度
symbolic logic 符号逻萝辑
symmetrical circulator 对称环行器
symmetrical clipper 对称熄器
symmetrical deflection 对称偏转
sync input 同步输入
sync output 同步输出
sync pulse 同步脉冲
sync pulse generator 同步脉冲发生器
sync pulse regeneration 同步脉冲再生
sync pulse regenerator 同步脉冲再生器
sync signal 同步信号
sync signal amplitude 同步信号振幅
sync signal compression 同步信号压缩
sync signal generator 同步信号发生器
sync signal level 同步信号电平
sync signal limiter 同步信号限幅器
synchrocyclotron 同步电子回旋加速器稳相加速器
synchrodyne reception 零拍接收
synchronism 同步
synchronization 同步
synchronization system 同步系统
synchronize 使同步
synchronizer 同步器同步装置
synchronizing 同步
synchronizing signal generator 同步信号振荡器
synchronous 同步的
synchronous compensator 同步补偿器同步掂机
synchronous detector 同步检波器
synchronous mixer 同步混合器同步混频器
synchronous recording 同步记录
synchronous satellite 同步卫星
synchronous scanning 同步扫描
synchronous transmission 同步传输
synchrophasotron 同步稳相加速器
synchropulse amplitude filter 同步脉冲振幅滤波器
synchropulse amplitude separation 同步脉冲振幅分离
synchroscope 同步示波器同步指示仪
synchrotron 同步加速器
synchrotron oscillations 同步加速岂荡
synchrotron radiation 同步辐射
synthesis 合成
synthesizer 合成器综合者
synthetic aperture antenna 合成孔径天线
syntony 党
system 系统
system bandwidth 系统带宽
system design 系统设计
system engineering 系统工程
system partitioning 系统划分
system performance criterion 系统性能判据
system technology 系统工程
systematic error 系统错误
t antenna t 型天线
t bend t 形管
t circulator t 型环行器
t flip flop 启动型双稳态触发器
t junction t 形接合器t接头
t r switch 收发转换开关
t2l 晶体管晶体管逻辑
tab 带式自动焊接
tab bonder 带式自动焊接器
tab chip 带式自动焊接芯片
tab package 带式自动焊接用微型管壳
tab process 带式自动焊接工艺
tab processing 带式自动组装
table 表
table of frequency allocations 频带分配表
table set 台式接收机
table telephone 桌机
tacitron 噪声闸淋
tactile type sensor 解觉感受器
tail 引线
tail of the pulse 脉冲尾部
tailoring 蝶
talk back circuit 对讲电路
talk back equipment 对讲设备
talk key 通话电键
talking 通话
talking beacon 音响指示信标
talking key 通话电键
talking test 通话测试
tandem junction 串接结
tandem solar cell 串接太阳电池
tandem switching 中继交换台
tangential pickup arm 切向拾音欺
tangential wave path 切线波传播路径
tank 槽
tank capacity 振荡回路电容
tank circuit 振荡电路
tank crystallizer 槽式结晶器
tank inductance 振荡回路电感
tank region 槽区
tantalum 钽
tantalum nitride resistor 氮化钽膜电阻器
tantalum silicide connection 钽硅化物互连
tap 抽头
tape 带
tape automated assembly 带式自动组装
tape automated bonded leads 带式自动焊接引线
tape automated bonding 带式自动焊接
tape bonded hybrid 带焊接的混合集成电路
tape bumping 带式载体上隆起焊盘形成
tape chip carrier 带式芯片载体
tape component 带上安装的元件
tape curvature 磁带弯曲度
tape feeder 带式馈送器
tape guide 磁带导杆
tape mounted chip 带上安装的芯片
tape mounted component 带上安装的元件
tape recorder 磁带录音机
tape speed 带速
tape tension 磁带张力
tape transport 走带机构
taper 圆锥
taper isolated cell 锥形隔离的单元
tapered antenna 锥形天线
tapered coaxial junction 锥形同轴连接
tapered opening 锥形开口
tapered waveguide 锥形波导管
taping 缠绕
taping machine 捆带机
tapped resistor 抽头式电阻器
tapping 抽头
target 靶
target acquisition 目标截获
target area 靶面积
target detection 目标探测
target identification 目标识别
target identification beacon 目标识别信标
target image 目标影象
target information 目标情报
target irradiation 目标辐照
target noise 目标噪声
target observation 目标观测
target orientation 目标取向
target plume 靶火焰
target radiation 目标辐射
target recognition 目标识别
target reflection 目标反射
target selection 目标选择
target visibility 目标能见度
tariff 价目表
tariff indicator 资费指示器
tc 热电偶
tc bonding 热压焊接
tcc 电容温度系数
tcr 电阻温度系数
tdl 晶体管 二极管逻辑
tdm 时分多路传输
te 下降边
tea 转移电子放大器
technique 技术
technique of measurement 测量技术
technological laser 工艺用激光器
technological limits 工艺极限
technological parameters 工艺参数
technology 工艺
technotron 结型场效应管
ted 转移电子掐
teflon 聚四氟乙烯
teflon boat 聚四氟乙烯舟
teflon carrier 聚四氟乙烯盒
teleautograph 传真电报机
telecine 电视电影
telecommunication 远程通信
telecommunication cable 长途通信电缆
telecommunication equipment 电信设备
telecommunication facilities 电信设备
telecommunication network 电信网络
telecommunication system 远距离通信系统
telecommunications power supply 电信电源
telecommunications privacy 电信保密
telecommunications service 电信业务
teleconference 电话会议
teleconferencing 电话会议
telecontrol 遥控
telecopying 传真
teledata 电信数据
telefax 光传真
telefilm 电视片
telegraph 电报
telegraph alphabet 电报电码
telegraph apparatus 电报装置
telegraph channel 电报信道
telegraph circuit 电报电路
telegraph code 电报电码
telegraph exchange 电报交换机
telegraph modulation 电报灯
telegraph office 电报局
telegraph relay 电报继电器
telegraph repeater 电报帮电机
telegraph signal 电报信号
telegraph speed 发报速度
telegraph subscriber 电报用户
telegraph system 电报系统
telegraph text 电文
telegraph transmitter 电报发送机
telegraph wire 电报线
telegraphic keying 发报
telegraphy 电报
telemetry 遥测法
telephone 电话
telephone answering apparatus 电话应答器
telephone answering machine 电话应答器
telephone box 电话室
telephone cable 电话电缆
telephone call 电话呼叫
telephone channel 电话通道
telephone charge register 电话费用计数器
telephone circuit 电话电路
telephone communication 电话通信
telephone connection 用户终端
telephone cord 电话祈线
telephone device 电话装置
telephone dialer 电话拔号盘
telephone directory 电话号码表
telephone exchange 电话局
telephone exchange office 电话局
telephone line 电话线
telephone loop 电话用户线
telephone metering system 电话计数系统
telephone network 电话网络
telephone number memory device 电话号码存贮器
telephone operator 话务员
telephone operator apparatus 话务员装置
telephone plant 电话设备
telephone receiver 电话听筒
telephone relay 电话继电器
telephone repeater 电话中继器
telephone set 电话机
telephone signal 电话信号
telephone signalling 电话信号化
telephone station 电话局
telephone subscriber 电话用户
telephone subset 用户电话装置
telephone switchboard 电话交换台
telephone switching 电话交换
telephone switching device 电话交换装置
telephone switching equipment 电话交换装置
telephone system 电话系统
telephone telegram 话传电报
telephone traffic 话务
telephone transmitter 送话器
telephone voice recorder 电话活音记录器
telephone wire 电话线
telephony 电话学
telephotography 传真电报学
teleprinter 电传打印机
teleran 电视雷达导航
telescopic antenna 可伸缩天线
telescopic mast 伸缩天线杆
teleservice 电信业务
teletext 电视广播
teletype 电传打印机
television 电视机
television acoustics 电视伴音
television aerial 电视天线
television antenna 电视天线
television broadcast 电视广插
television broadcast station 电视广播台
television camera 电视摄象机
television car 电视车
television center 电视中心
television channel 电视频道
television chart 电视测试卡
television direct transmission 电视直播
television image 电视图象
television intercommunication network 电视对讲通信网
television interference 电视干扰
television line number 电视图象线数
television link 电视通信线路
television network 电视网
television picture tube 电视显象管
television projector 电视放映机
television receiver 电视接收机
television reception 电视接收
television relay system 电视中继系统
television screen 电视茧光屏
television set 电视接收机
television signal 电视信号
television signal level 电视信号电平
television standard 电视标准
television standard signal 电视标准信号
television studio 电视演播室
television system 电视制式
television transmitter 电视发射机
television tube 电视管
television waveform 电视信号波形
television waves 电视波
telewriter 传真电报机
telex 电传
telex exchange 用户电报交换机
telex service 拔号制用户电报业务
tellurium 碲
telvision 电视
tem 透射电子显微镜
tem micrograph 透射电子显微镜显微照片
tem mode tem 模
tem study 透射电子显微镜分析
temperature 温度
temperature aging 热老炼
temperature coefficient 温度系数
temperature coefficient of capacitance 电容温度系数
temperature coefficient of resistance 电阻温度系数
temperature compensated reference 温度补偿基准电压
temperature compensation 温度补偿
temperature cycling test 温度循环试验
temperature damage 热损伤
temperature delay 温度滞后
temperature difference 温差
temperature drift 温度漂移
temperature effect 温度效应
temperature equilibrium 温度平衡
temperature frequency coefficient 频率温度系数
temperature gradient 温度梯度
temperature gradient zone melting 温度梯度区熔
temperature humidity chamber 温湿室
temperature lag 温度滞后
temperature limit 温度界限
temperature sensor 温度传感器
temperature settability 温度设置精度
temperature stability 温度稳定性
temperature stabilization 温度稳定
temporary connection 暂时连接
tension 张力
termianl office 终端站
terminal 端子
terminal area 终端区域
terminal equipment 终端设备
terminal impedance 终端阻抗
terminal pad 连接盘
terminal pin 引线脚
terminal repeater 终端增音机
terminal station 终点站
terminating set 四线二线变设备
terminating station 终点站
ternary code 三进制代码
terrain following radar 地形显示雷达
terrestrial magnetic field 地磁场
terrestrial magnetism 地磁
terrestrial noise 地面噪声
terrestrial radiation 地面辐射
test 试验
test amplifier 测试放大器
test block 试块
test call 测试通话
test chamber 试验室
test chip 测试芯片
test equipment 测试设备
test frequency 试验频率
test gas 试验气体
test jack 测试塞孔
test key 测试键
test manufactured ic 试制的集成电路
test oscillator 测试振荡器
test pad 测试用焊点
test pattern 测试模式
test pattern generation 测试图案发生
test point 试验点
test probe 测试探针
test rack 试验台
test set 测试设备
test stand 试验台
test station 试验台
test structure 测试结构
testability 可检测性
tester 测试仪
testing 测试
testing battery 试验用电池
testing circuit 试验电路
testing device 试验设备
testing loop 测试回路
testing position 测试位置
testing system 试验系统
testing technique 试验技术
tetrode 四极管
tetrode etcher 四极管腐蚀装置
tetrode field effect transistor 四极场效应晶体管
textcommunication 文本通信
tfc 薄膜电路
tffet 薄膜场效应晶体管
tft 薄膜晶体管
tgzm 温度梯度区熔
thermal aging 热老炼
thermal analysis 热分析
thermal annealing 加温退火
thermal blooming 热晕
thermal breakdown 热哗
thermal chamber 热处理室
thermal conduction 热导
thermal cvd 热化学汽相淀积
thermal decomposition 热分解
thermal desorption 热解吸
thermal destruction 热破坏
thermal diffusion 热扩散
thermal dissociation 热离解
thermal equilibrium 热平衡
thermal etching 热蚀
thermal evaporation 热蒸发
thermal excitation 热激励
thermal expansion 热膨胀
thermal expansion coefficient 热膨胀系数
thermal image 热象
thermal imager 热成象器
thermal imagery 热成象
thermal insulation 热绝缘
thermal ionization 热电离
thermal loss 热耗
thermal microphone 热线传声器
thermal nitridation metal insulator semiconductor 热氮化式金属 绝缘体 半导体结构
thermal noise 热噪声
thermal oxidation 热氧化
thermal oxide growth 热氧化生长
thermal oxide layer 热氧化层
thermal photon detector 热光子探测器
thermal processing 热处理
thermal pulse bonding 脉冲热焊
thermal pumping 热抽运
thermal radiation 热辐射
thermal resistance 热阻
thermal runaway 热耗散
thermal shock chamber 热冲坏验箱
thermal stability 热稳定性
thermal stability factor 热稳定系数
thermal treatment 热处理
thermal tuning 热党
thermalized electron 热化电子
thermally grown film 热生长膜
thermionic 热电子的
thermionic cathode 热电子阴极
thermionic emission 热电子放射
thermionic energy converter 热电子换能器
thermionic field emission 场致热电子发射
thermionic tube 热阴极电子管
thermionic valve 热阴极电子管
thermionic valve detector 热电子管检波器
thermionic valve receiver 热电子接收机
thermionic valve transmitter 热电子管发射机
thermistor 热敏电阻
thermistor bolometer 热敏电阻辐射仪
thermistor mount 热敏电阴座
thermo ammeter 热电偶安培计
thermo electric converter 热电转换器
thermo electric detector 热电探测器
thermo galvanometer 热电检疗
thermoanalysis 热分析
thermocell 热电偶
thermocompression 热压焊
thermocompression ball bonding 热压球焊
thermocompression bird beak bonding 乌嘴式热压焊接
thermocompression bonded device 热压焊掐
thermocompression bonder 热压焊接机
thermocompression bonding 热压焊接
thermocompression tape automated bonded leads 热压带式自动焊接的引线
thermocouple 热电偶
thermocouple vacuum gauge 热电偶式真空计
thermocycling 高低温试验
thermodynamic equilibrium 热力平衡
thermoelectric couple 热电偶
thermoelectric effect 塞贝克效应
thermoelement 热电偶
thermojunction 热电偶接点
thermoluminescence 热激发光
thermomigration 热徙动
thermonuclear reaction 热核反应
thermoplastic coating 热塑涂敷
thermoplastic resin 热塑尸
thermosetting coating 热固涂敷
thermosetting resin 热固尸
thermosonic bonder 超声波热焊机
thermosonic bonding 超声波热焊
thermostat 恒温器恒温箱
thermotropic model 正压大气模式
theta alignment 角度对准
theta pinch pumping 方位角箍缩泵激
thick film chip 厚膜芯片
thick film circuit 厚膜电路
thick film conductor 厚膜导体
thick film firing 厚膜烧结
thick film formulation 厚膜成分
thick film hybrid 厚膜混合电路
thick film ink 厚膜浆料
thick film multilayer technology 多层厚膜技术
thick film multilevel substrate 多层厚膜衬底
thick film printer 厚膜网印装置
thick film resistor formula 厚膜电阻配方
thick film screening 厚膜丝网漏印
thick films 厚膜
thickness gauge 量隙规
thickness meter 厚度规
thickness of layer 层厚
thickness sorting 厚度分选
thickness/resistivity sorter 厚度 电阻率分选机
thin film chip 薄膜芯片
thin film circuit 薄膜电路
thin film cladding 薄膜涂敷
thin film component 薄膜无件
thin film conductor 薄膜导体
thin film definition 薄膜图象形成
thin film densification 薄膜致密化
thin film deposition 薄膜沉积
thin film device 薄膜掐
thin film diode 薄膜二极管
thin film evaporation 薄膜蒸发
thin film fet 薄膜场效应晶体管
thin film hybrid 薄膜混合电路
thin film interconnection 薄膜互连
thin film laser 薄膜激光器
thin film lightguide 薄膜光波导
thin film microcircuit 薄膜微型电路
thin film microelectronics 薄膜微电子学
thin film resistor ladder 薄膜电阻梯形电路
thin film sputtering 薄膜溅射
thin film structure 薄膜结构
thin film substrate 薄膜衬底
thin film superconductor 薄膜超导体
thin film technology 薄膜工艺学
thin film transistor 薄膜晶体管
thin films 薄膜
thin layer bolometer 薄膜辐射热计
thin section 薄剖面
thixotropic adhesive 触变粘合剂
thixotropic agent 触变剂
thixotropic filler 触变填料
thixotropic paste 触变膏
thoriated cathode 涂钍阴极
thoriated filament 敷钍灯丝
thrashing 超负荷
three cavity klystron 三腔速弟
three color kinescope 三色显象管
three diffusion integrated circuit 三重扩散集成电路
three dimensional image 三度象
three dimensional integrated circuit 三维集成电路
three dimensional profiling of optical fiber 光纤的三维成形
three dimensional wiring 三维布线
three element antenna 三元天线
three gun color picture tube 三枪彩色显象管
three level laser 三能级激光器
three mode laser 三模激光器
three pole 三极
threshold 阈值
threshold contrast 临阈对比度
threshold current 阈电流
threshold current density 阈电淋度
threshold detector 阈值检测器
threshold discriminator 阈鉴别器
threshold energy 阈能
threshold frequency 监界频率
threshold gain 阈增益
threshold inversion 阈反转
threshold level 阈值电平
threshold logic 阈逻辑
threshold of audibility 听阈
threshold of generation 发生阈
threshold of luminescence 发光阈
threshold of photoemission 光电放射阈
threshold of sensitivity 灵敏度阈值
threshold population 临界粒子数
threshold population inversion density 临界粒子数反转密度
threshold pumping 阈抽运
threshold reduction 阈值减低
threshold value 阈值
threshold vision 阈可见度
threshold voltage 阈电压
threshold wavelength 阈波长
throat microphone 喉头送话器喉式话筒
through metallized hole 金属化穿孔
throughput 吞吐量
throughput speed 生产能力
thulium vapor laser 铥蒸汽激光器
thunderstorm static 雷电干扰
thyratron 闸淋
thyristor 硅控整流可控硅
thyrite 砂砾特压敏电阻
thyrode 可控硅整流
ticker 断续装置
tie line 连结线
tie link 连结线
tie trunk 连结线
tiered structure 分层结构
tight geometry 缩小型几何尺寸
tight packing 高填密
tight registration tolerance 紧密对准公差
tight spacing 紧密间隔
tight tolerance 紧密度容限
tightly packed logic 高密度逻辑电路
tilt 倾斜
tilt angle 倾角
tilt angle implantation 倾角离子注入
tilt mixer 行畸变校正电路
tilted antenna 倾斜天线
timbre 音色
time bandwidth product 时间 带宽积
time base 扫描基线
time base circuit 时基电路
time base frequency 时墓频率
time base generator 时基振荡器扫描发生器
time base period 时基周期
time behavior 时间特性
time constant 时间常数
time delay 时间延迟
time discriminator 时间鉴别器鉴时器
time division 时间划分
time division exchange 时间分割交换局
time division multiplex 时分多路传输
time division multiplex line 时间分割多路复用线
time division multiplex system 时间分割多路复用系统
time division switching 时间分割制交换
time factor 时间因数
time lag 时间延迟
time lag of switching 开关时滞
time mark 时标
time multiplex 时分多路传输
time multiplex telephone exchange 时分多路电话交换机
time multiplexing 时分多路传输
time of discharge 放电时间
time of flight 渡越时间
time of propagation 传播时间
time of response 响应时间
time pulse generator 时标脉冲发生器时钟脉冲发生器定时器时间继电器
time resolution 时间分辨率
time response 时间响应
time sequence 时序
time signal 报时信号
time space optical modulator 时 空光学灯器
time standard 时间标准
time unit 时间单位
time varying element 时变元件
time varying inductance 时变电感
timeout 超时
timer 时标脉冲发生器时钟脉冲发生器定时器时间继电器
timing 同步
timing circuit 时标脉冲发生器时钟脉冲发生器定时器时间继电器
timing generator 时标脉冲发生器时钟脉冲发生器定时器时间继电器
timing pulse 定时脉冲
timing pulse generator 定时脉冲发生器时标脉冲发生器
timing sequence 时序
tin 锡
tin plating 镀锡
tinned ball 镀锡球
tinner shell 内壳层
tinning 镀锡
tip projection 极尖高出度
titanium 钛
titanium tungsten fuse 钛 钨保险丝
title 标题
tl 晶体管 晶体管逻辑
toggle 解发器反复电路
toggle switch 搬钮开关
tolerance 公差
tolerant chip 容许芯片
toll call 市外通话
toll centre 中央长途电话局
toll circuit 长途电路
toll communication 长途通信
toll connector 长途终接器
toll dialing 长途拔号
toll line bialing 长途拔号
toll network 长途通信网
toll operator 长途话务员
toll switchboarb 长途台
tomography 断层 x 射线照相法
tonal quality 音质
tone 音
tone control 音地制
tone filter 音频滤波器
tone generator 音频发生器
tool 工具
tooling 蝶工具
top 晶体管型外壳
top down design 自顶向下设计
top electrode 上电极
top loaded vertical antenna 顶部加载竖直天线
top of band 带顶
topography 地形学
topological layout 拓扑布图
toroid 环形线圈
toroidal coil 环形线圈
toroidal vacuum chamber 环形真空室
torque amplifier 转矩放大器
total absorption 总吸收
total amplification 总增益
total attenuation 总衰减
total distortion 总失真
total efficiency 总效率
total emission 全放离
total energy of a particle 粒子总能量
total internal reflection 全反射
total ionization 全电离
total load 总负载
total loss 全损耗
total mean free path 总平均自由程
total power input 总输入功率
total radiation 全辐射
total reflecting prism 全反射棱镜
total reflection 全反射
total reflection mirror 全反射镜
total reflector 全反射镜
total refraction 全折射
total resistance 总电阻
total responsivity 总灵敏度
totally reflective interference photocathode 全反射干涉式光电阴极
tote box 装箱
touch sensor 解觉感受器
touch tone telephone 按键式电话机
tower antenna 塔式天线
townsend coefficient 唐森系数
townsend discharge 汤森德放电
tr box 收发开关
tr dmos 隔离槽双扩散金属氧化物半导体
tr switch 收发转换开关
trace 痕迹
trace analysis 痕量分析
trace concentration 痕量浓度
trace impurity 痕量杂质
tracing distortion 跟踪畸变
track 磁道
track resolution 轨道分辨力
track search 路径探索
track while scan radar 跟踪搜死状秣
tracker device 跟踪装置
tracker station 跟踪站
tracking 跟踪
tracking accuracy 跟踪精度
tracking filter 跟踪滤波器
tracking network 跟踪网
tracking system 跟踪系统
traffic 话务量
traffic capacity 通话能力
traffic control 话务量控制
traffic distribution 交通分配
traffic load 话务负载
traffic measurement 话务量测量
traffic overflow 通信量过载
traffic overload 通信量过载
traffic throughput 通话能力
traffic unit 话务量单位
trailing 拖尾
trailing antenna 下垂天线
trailing edge 下降边
train of impulses 脉冲群
train of waves 波列
train radio system 铁路无线电系统
trajectory 轨迹
trajectory of the beam 射束轨迹
trajectory of the laser beam 激光束轨迹
transadmittance 互异纳
transceiver 收发机
transconductance 跨导
transdonor 反施主
transducer 传感器变换器;转换器
transfer 转移
transfer admittance 转移导纳
transfer characteristic 传输特性
transfer circuit 转移电路
transfer current 转位电流
transfer efficiency 转换效率
transfer function 传递函数
transfer gate 传输门
transfer impedance 转移阻抗
transfer molded plastic 传递模塑用塑料
transfer molding 传递模塑法
transfer molding press 传递模塑压床
transfer system 传输系统
transfer tray 转移托盘
transferred electron amplifier 转移电子放大器
transferred electron device 转移电子掐
transferred electron oscillator 转移电子振荡器
transformation 变换
transformation point 转变点
transformer amplifier 变压祁合放大器
transformer coupling 变压祁合
transforming section 变换段
transient 瞬变现象
transient analyzer 瞬变过程分析器暂态分析仪
transient impulse 瞬时脉冲
transient mode 过渡方式
transient period 过渡周期
transient pulse 瞬时脉冲
transient response 瞬态响应
transient state 过渡状态
transient time 过渡时间
transistor 晶体管
transistor action 晶体管酌
transistor amplifier 晶体管放大器
transistor arrangement 晶体管结构
transistor array 晶传管阵列
transistor chain 晶体管链路
transistor circuit 晶体管电路
transistor diode logic 晶体管 二极管逻辑
transistor equation 晶体管方程
transistor level simulation 晶体管级模拟
transistor microstructure 晶体管的微型结构
transistor oscillator 晶体管振荡器
transistor outline 晶体管轮廓
transistor outline package 晶体管型外壳
transistor resistor logic 晶体管 电阻逻辑
transistor source 晶体管源极
transistor transistor logic 晶体管 晶体管逻辑
transistor transistor logic/advanced schottky 改进型肖特基晶体管 晶体管逻辑
transit 渡越
transit angle 飞越角
transit exchange 转接局
transit phase angle 飞越角
transit switching 中继交换台
transit time 渡越时间
transit time correction 渡越时间校正
transit time diode 渡越时间二极管
transit time equalizer 渡越时间校正器
transit time negative resistance diode 渡越时间负阻二极管
transit time region 渡越时间区
transition 转移
transition energy 跃迁能
transition frequency 过渡频率
transition linewidth 跃迁谱线宽度
transition metal impurity 过渡金属杂质
transition point 转变点
transition probability 跃迁概率
transition radiation 跃迁辐射
transition state 过渡状态
transition time 过渡时间
transitron 负互异管
transitron oscillator 负跨导管振荡器
translaser 晶体管激光器
translating 变换
translator 译码器转发器
transmission 传输
transmission band 通频带
transmission channel 传输通路
transmission coefficient 透射系数
transmission curve 透射特性
transmission diagram 传输图
transmission electron microscope 透射电子显微镜
transmission holography 透射全息照相术
transmission level 传输电平
transmission line 传输线
transmission line filter 传输线滤波器
transmission loss 传输损耗
transmission matrix 传输矩阵
transmission of chrominance carrier 彩色载波传输
transmission path 传输路径
transmission range 传输范围
transmission speed 传输速度
transmission standards 传输标准
transmission system 传输系统
transmission time 传输时间
transmission type polarizer 透射型偏振器
transmission type secondary emitter 透射型二次电子发射极
transmissison characteristic 透射特性
transmit 传送
transmit receive switch 收发转换开关
transmittance 透射比
transmitted wave 透射波
transmitter 发射机
transmitting aerial 发射天线
transmitting antenna 发射天线
transmitting directing 发射方向
transmitting distortion 发信失真
transmitting equipment 发射设备
transmitting key 发报电键
transmitting line 发送电路
transmitting mirror 透射镜
transmitting station 发射台
transmitting system 传输系统
transmitting terminal 发射端
transmitting tube 发射管
transmutation doping 质变掺杂
transparency 透萌
transparent epoxy 透梅氧尸
transparent film 透谩膜
transparent layer 透勉
transparent plasma 透萌离子体
transparent region 透螟
transparent window 透冒
transparent zone 透螟
transponder 发射应答器
transport 输运
transport efficiency 转换效率
transport system 传输系统
transport tape 传输带
transportable amplifier 手提式放大器
transportable lunar radar 移动式月面雷达
transposition 换位
transversal filter 横向滤波器
transversal oscillation 横向振荡
transversal pumping 横向抽运
transversal stability 横向稳定性
transverse beam twt 横向波束行波管
transverse electric wave 横电波
transverse electromagnetic mode tem 模
transverse field tube 横场管
transverse focusing 横向聚焦
transverse magnetic wave 横磁波
transverse magnetization 横向磁化
transverse photoresistor 横向光敏电阻
transverse piezoeffect 横向压电效应
transverse recording 横向记录
transverse video tape recorder 横向磁迹磁带录象机
transverse wave 横波
trap 陷阱
trap center 俘获中心
trap circuit 陷波电路
trap impurity 俘获杂质
trapatt diode 俘越二极管
trapezium distortion 梯形失真
trapezoidal pulse 梯形脉冲
traping center 俘获中心
trapped carrier 被俘获的载劣
trapped plasma avalanche triggered transit mode 俘获等离子体雪崩触发渡越方式
trapping 俘获
trapping cross section 俘获截面
travelling field 行波场
travelling plane wave 平面行波
travelling wave 行波
travelling wave accelerator 行波加速器
travelling wave aerial 行波天线
travelling wave amplifier 行波放大器
travelling wave antenna 行波天线
travelling wave laser 行波激光器
travelling wave magnetron 行波磁控管
travelling wave maser 行波微波激射器行波量子放大器
travelling wave oscilloscope 行波示波器
travelling wave phototube 行波光电管
travelling wave resonator 行波谐振器
travelling wave tube 行波管
tray 托盘
treatment 处理
treatment of data 数据处理
treble cut 高音膝
treble loudspeaker 高音杨声器
tree damage 始状损伤
treffic distributor 话务分配器
trench 槽
trench double diffusion mos 隔离槽双扩散金属氧化物半导体
trench etching 槽腐蚀
trench isolated ic 槽隔离集成电路
trench isolation technology 槽型隔离技术
trench mask definition 槽腐蚀用掩模图象形成
tri mask integrated circuit 三掩模集成电路
triac 三端双向可控硅开关
trialkali photocathode 三碱性光电阴极
triangular noise 三角波噪声
triangular pulse 三角波脉冲
tributary channel 分通道
tributary station 次站
tricolour tube 彩色显象管
tricon radar system 三地面雷达站导航系统
tricrystal 三晶
trigatron 触发管
trigger 触发发脉冲
trigger action 触发酌
trigger circuit 触发电路
trigger flip flop 启动型双稳态触发器
trigger level 触发电平
trigger pulse 触发发脉冲
trigger relay 触发继电器
trigger tube 触发管
triggered spark gap 触发放电器触发火花隙
triggering 起动
triggering level 触发电平
triggering pulse 触发发脉冲
triggering time 触发时间
trigonal reflector antenna 三角反射旗线
trihedral reflector 三面反射镜
trim 修整
trim stability 蝶稳定性
trimic 三掩模集成电路
trimmer 蝶器
trimming 微调蝶
trimming resistive network 微电阻网路
trinitron 单枪三束彩色显象管
trinoscope 彩色电视接收装置
triode 三极管
triode etcher 三极管腐蚀装置
triode sputter 三极管溅射装置
triple 三重的
triple diffusion structure 三重扩散结构
triplet 三重态
triplet lifetime 三重态寿命
tripole antenna 三振子天线
trirotron 交叉场旋转电子连高频放大器
tristimulus values 三激励值
tritet oscillator 多谐晶体振荡器
tritium target 氚靶
trl 晶体管 电阻逻辑
trochotron 多电极转换电子管
tropopause 对零顶
troposphere 对零
tropospheric absorption 对零吸收
tropospheric duct 大气波导
tropospheric mode 对零式模
tropospheric scatter 对零散射
tropospheric wave 对零波
troposystem 对零散射通信系统
trouble 故障
trouble shooting 故障检修
true bearing 真方位
true bearing unit 真航向指示器
true image 实象
true line breadth 真线宽
true motion indicator 真运动指示器
trunk 连接线路
trunk cable 干线电缆
trunk call 长途呼叫
trunk circuit 中继电路
trunk communication 远距离通信
trunk connection 长途接续
trunk group 中继线群
trunk line 干线;中继线
trunk operator 市外话务圆
trunk switchboard 长途交换台
ttl 晶体管晶体管逻辑
ttl gate ttl 门
ttl/as 改进型肖特基晶体管 晶体管逻辑
tub 槽区
tube 管;党
tube drop 电子管电压降
tube electrometer 电子管式静电计
tube furnace 管状炉
tube noise 电子管噪声
tube tester 电子管试验器
tube voltage drop 电子管电压降
tubular 管状的
tubular capacitor 管状电容器
tumbler 搬钮开关
tunable cavity 可嫡腔谐振器
tunable filter 可邓波器
tunable jammer 可变频率干扰机
tunable laser 可党激光器
tunable magnetron 可党磁控管
tunable optical filter 可党滤光器
tuned amplifier 党放大器
tuned antenna 党的天线
tuned cavity 党的空腔
tuned dipole 党的偶极子
tuner 党器
tungsten 钨
tungsten cathode 钨丝阴极
tungsten evaporator 钨蒸发器
tungsten tipped probe 钨探针
tuning 党
tuning capacity 党电容
tuning circuit 党电路
tuning coil 党线圈
tuning condenser 党电容器
tuning control 党控制
tuning device 党装置
tuning fork oscillator 音叉振荡器
tuning fork resonator 音叉谐振器
tuning indicator 党指示器
tuning post 党杆
tuning range 党范围
tuning screw 党螺钉
tuning signal 党信号
tunnel 隧道
tunnel barrier 隧道结
tunnel breakdown 隧道哗
tunnel cryotron 隧道式冷子管
tunnel current 隧道电流
tunnel diode 隧道二极管
tunnel diode amplifier 隧道二极管放大器
tunnel diode oscillator 隧道二极管振荡器
tunnel diode trigger 隧道二极管触发器
tunnel effect 隧道效应
tunnel injection 隧道注入
tunnel junction 隧道结
tunneling 隧道效应
tunneling electron 隧道电子
tunneling jump 隧道结
turbomolecular pump 涡轮分子泵
turbulent flow 湍流
turn off time 断开时间
turn on switching time 接通时间
turn on time 接通时间
turnover frequency 交叉频率
turnstile antenna 绕杆式天线
turntable 转车台
tv 电视机
tv display system 电视显示系统
tweeter 高频扬声器
tweezer free handling 无挠操作
tweezer handling 真空挠操作
twelve channel system 十二频道系统
twin 双晶
twin antenna 成对天线
twin diode 双二极管
twin transistor logic 晶体管晶体管逻辑
twin tub process 双阱工艺
twinned crystal 双晶
twinning 形成双晶
twist effect 扭曲效应
twisted nematic liquid crystal cell 扭转向列液晶单元
twisted waveguide 扭型波导管
twisting of wires 捻线
two cavity klystron 双腔速弟
two chip modem 二芯片式灯解调
two condition cable code 二态电缆码
two dimensional array 二维阵列
two dimensional element 二维元件
two dimensional image 二维图象
two dimensional layout 二维布置
two electrode tube diode 工极管
two element antenna 二元天线
two frequency laser 双频率激光器
two layer interconnections 双层互连
two level laser 二能极激光器
two level maser 双能级脉泽
two level metallization 双层金属化
two mode laser 二模激光器
two motion selector 上升 旋转选择器
two phase 二相的
two photon absorption cross section 双光子吸收截面
two point measurement 二点测试法
two pole equivalent network 二端等效网络
two polysilicon approach 双层多晶硅法
two quadrant multiplier 二象限乘法器
two sided 双边的
two sided pcb 双面印制电路板
two step diffusion 双重扩散
two step etch 两步腐蚀
two step reduction 两步缩小
two way communication 双向通信
two way operation 双向操作双向工作
two way transmission 双向传输
two well process 双阱工艺
two wire aerial 二线天线
two wire antenna 二线天线
two wire line 二线制线路
two wire repeater 二线式增音机
twt 行波管
twystron 行波速弟
type i superconductor 第一类超导体
type ii superconductor 第二类超导体
type printing telegraph 打字电报机
type printing telegraphy 打字电报
type wheel 活字轮
typer 导电型测试仪
typewriter 打字机
发表于 2008-1-19 15:51:08 | 显示全部楼层
呵呵,这么多,辛苦搂住了
发表于 2008-1-19 17:27:09 | 显示全部楼层
看不见啊!
发表于 2008-1-28 19:02:09 | 显示全部楼层
怎么看看不到啊
头像被屏蔽
发表于 2008-3-4 18:16:28 | 显示全部楼层
提示: 作者被禁止或删除 内容自动屏蔽
头像被屏蔽
发表于 2008-3-4 18:16:50 | 显示全部楼层
提示: 作者被禁止或删除 内容自动屏蔽
发表于 2008-6-7 18:55:46 | 显示全部楼层
thanks
发表于 2008-6-7 18:59:39 | 显示全部楼层
thanks
发表于 2008-6-7 23:05:37 | 显示全部楼层
顶 顶 顶
发表于 2008-7-26 01:24:21 | 显示全部楼层
怎么没有a-n的啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 04:50 , Processed in 0.060581 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表