在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5111|回复: 10

这句有什么错误?

[复制链接]
发表于 2003-9-17 20:30:29 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
ad<=temp_ad when oe_ad=B'1' else "ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ";
怎么编译老通不过?
我用的是maxplus
发表于 2003-9-17 21:58:59 | 显示全部楼层

这句有什么错误?

出错信息是什么样的?
发表于 2003-9-18 08:52:34 | 显示全部楼层

这句有什么错误?

Mp2对VHDL语言的支持很不好,用symplify或其它综合试试.
 楼主| 发表于 2003-9-18 10:21:10 | 显示全部楼层

这句有什么错误?

错误是:
VHDL syntax error:character literal""must be terminated with an apostrophe
如果我把'1'改为"1",错误就变成了:
Subprogram error:can't interpret subprogram call
发表于 2003-9-18 10:47:52 | 显示全部楼层

这句有什么错误?

oe_ad=B'1' 中去掉B即可
 楼主| 发表于 2003-9-18 12:14:15 | 显示全部楼层

这句有什么错误?

我试过去掉B,但"1"的还是一样的错误。
'1'的错误变为:
error:tri or opndrn buffer':17260'can only drive logic [':13486'] if connected to a bidir pin
实在不懂怎么回事。郁闷ing
发表于 2003-9-18 12:59:46 | 显示全部楼层

这句有什么错误?

贴出你的code帮你看看。
发表于 2003-9-27 19:43:47 | 显示全部楼层

这句有什么错误?

你的AD是双向口啊,可要加方向控制
发表于 2003-9-27 20:46:24 | 显示全部楼层

这句有什么错误?

   去掉ZZZZZ就可以编译通过了,不过你大概是要实现inout的端口吧,我也没有解决这个问题,关注中!
发表于 2003-9-28 17:46:56 | 显示全部楼层

这句有什么错误?

我写个例子:(不管多少bit宽度)
dsp_data_pin     <=dsp_data_out  WHEN  BUS_OUT_EN='1' ELSE (OTHERS=>'Z');
一个Z就可以了。呵呵不用那么多。
你这样用是非常好的。我推荐这样用。我曾经编写SDRAM控制器就是这样用在SDRAM数据总线上的,当然是双向口了。呵呵
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 14:58 , Processed in 0.028888 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表