在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1451|回复: 1

[原创] 记录自己搭的CPF的低功耗前仿

[复制链接]
发表于 2021-4-9 17:18:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
CPF的电压域设置与isolate设置基本上与UPF一致,但是CPF脚本不支持设置level_shifter
UPF在前仿的过程中需要根据控制信号另写电压的控制逻辑进行supply_on/off
CPF会直接根据create_power_domain中-shutoff_condition的设置条件,自动判断是否断电,不需要另写文件控制电压开关

图片.jpg

PS:仿真过程中,发现有个别信号没有被isolate上,不知道什么原因,使用的irun是152版本的,有知道的大神欢迎留言,谢谢


 楼主| 发表于 2021-4-13 09:57:24 | 显示全部楼层
在irun的仿真命令中多加了几条指令,-lps_isoruleopt_warn -lps_isofilter_verbose就能看到之前没有报的一些warning以及本该进行isolate但是没有进行isolate的一些信号warning

对于在create_isolation_rule的时候没有isolate上的,可以使用-force指令(不过不能使用-from -to指令)

cpf ignoring rules

cpf ignoring rules
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 16:38 , Processed in 0.018186 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表