在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1325|回复: 1

[求助] altera的quartusII中在进行时钟约束的时候set_clock_groups选择asynchronous或者exclusive是不是都一样

[复制链接]
发表于 2021-3-3 16:20:41 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

下面的这种说法对吗。我使用的是quartus11.0

一、命令格式

        set_clock_groups [-asynchronous] [-exclusive] –group <names>

二、选项说明

        -asynchronous :顾名思义,时钟是异步不相关的,时钟有完全不同的时钟源

        -exclusive :时钟是互斥的,即时钟不会再同一时刻同时有效

        实际上,这两个选项的效果是完全一样的。… TimeQuest treats both options, “-exclusive” and “-asynchronous”, as if they were the same.


发表于 2021-3-4 19:29:29 | 显示全部楼层
-exclusive,以前用过,当时的场景是一个时钟mux选择一个时钟的1分频和2分频,约束mux输出的时候就不能让这两个时钟同时有效,但实际上是同步的。场景上可能是不同的,但time分析工具当作一样了。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 19:40 , Processed in 0.018490 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表