在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: xbwpc

Cadence 2020新Flexlm已全线告破~

[复制链接]
发表于 2020-12-27 13:11:00 | 显示全部楼层
  if ( !(unsigned int)verifyBuildAuthorization(v2)
    || (Tcl_Interp_With_Lock::Tcl_Interp_With_Lock((Tcl_Interp_With_Lock *)v6, 0),
        v3 = Tcl_Interp_With_Lock:perator Tcl_Interp *(v6),
        Tcl_Eval_0(
          v3,
          "if {[catch {source $env(CDN_SYNTH_ROOT)/.synth_init} result]} { puts $result; puts \"Encountered problems duri"
          "ng initialization\" }"),
        Tcl_Interp_With_Lock::~Tcl_Interp_With_Lock((Tcl_Interp_With_Lock *)v6),
        v4 = (Dmn_mgr *)Dmn_mgr::global_manager((Dmn_mgr *)v6),
        Dmn_mgr::unregister_function_dont_call_this(v4, (Dmn *)LOAD_TCL_CODE_DMN, load_tcl_code),
        (unsigned __int8)lic_get_dft_command_option())
    && !(unsigned __int8)checkOutDftLicense("Encounter_Test_Architect", 0.0)
    && !(unsigned __int8)checkOutDftLicense("Test_Design_Verification", 0.0) )
  {
    my_exit(1);
  }
 楼主| 发表于 2020-12-27 16:09:32 | 显示全部楼层


ericyuhaotong 发表于 2020-12-27 13:11
if ( !(unsigned int)verifyBuildAuthorization(v2)
    || (Tcl_Interp_With_Lock::Tcl_Interp_With_Loc ...


嗯,就是这个函数,我直接patch掉ebx不管了。。。有提示就有提示吧。
发表于 2020-12-29 03:22:43 | 显示全部楼层
非常感谢你
发表于 2021-1-22 15:29:35 | 显示全部楼层


xbwpc 发表于 2020-12-27 16:09
嗯,就是这个函数,我直接patch掉ebx不管了。。。有提示就有提示吧。


借帖子问一下楼主,我用在论坛里找的license.dat文件装了genus, tempus等软件,能正常启动。但是用这些license文件装conformal和xcelium时,lmgrd -c 启动license就会报错,说invalid license key。这个是为什么,试了论坛里很多license,都是这个错误。搞了好几天一直解决不了。楼主能说一下用的什么license吗。
发表于 2021-2-4 21:05:25 | 显示全部楼层
非常感谢 上课!
发表于 2021-2-4 22:50:13 | 显示全部楼层
Thank You very much.
发表于 2021-3-3 15:55:51 | 显示全部楼层
为什么我的Cadence的innovus用这个方法后,虽然能启来,但是在跑设计时出现软件崩了的问题呢?
发表于 2021-4-3 15:35:49 | 显示全部楼层
谢谢分享~
发表于 2021-4-8 02:30:58 | 显示全部楼层


xbwpc 发表于 2020-12-22 12:11
sfk rep -binary /31C031DBE85D822F00/31C0B301E85D822F00/


good!!!!!!
发表于 2021-4-8 06:28:46 | 显示全部楼层
thnaks
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-23 23:42 , Processed in 0.030169 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表