在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2946|回复: 10

[求助] 断言相关问题

[复制链接]
发表于 2020-10-27 20:20:38 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
写了一个很简单的断言,就是判断一下四个信号同时为0的情况,途中的clk_in和clk是同一个信号,但是log上显示只check了12次,实际上的clk是远大于12次的。
第二张图中result是四个信号或的结果。
请问,P1的这个断言应该在每个时钟的上升沿就check一下吗?为什么我从波形上看只有在成功或者失败的起始会打印log,如果连续的失败或者成功就不报log了


图片.png

图片.png

图片.png

 楼主| 发表于 2020-10-27 20:21:45 | 显示全部楼层
第一张图是断言,图2是断言判断的几个波形,图3是仿真的log
发表于 2020-10-28 09:04:24 | 显示全部楼层
猜测是P1有问题,可以把时钟去掉
 楼主| 发表于 2020-10-28 09:33:17 | 显示全部楼层


新嘴小王安子 发表于 2020-10-27 20:21
第一张图是断言,图2是断言判断的几个波形,图3是仿真的log


用的是ncsim的仿真工具
 楼主| 发表于 2020-10-28 09:36:17 | 显示全部楼层


zhuyi1234567899 发表于 2020-10-28 09:04
猜测是P1有问题,可以把时钟去掉


试过了,换了别的时钟也是一样的
发表于 2020-10-28 11:28:40 | 显示全部楼层
tool的优化吧,会显示一条failed,不然每个时钟都打印,性能降低太多。tool又开启的选项,咨询fae。
发表于 2020-10-29 12:11:50 | 显示全部楼层
-abvevalnochange
This is a compile time option that turns off the optimization for single cycle assertions. By
default, such assertion evaluations are ignored if the assertion state remains same as in the
previous clock cycle.
发表于 2020-10-30 07:12:48 来自手机 | 显示全部楼层


新嘴小王安子 发表于 2020-10-28 09:36
试过了,换了别的时钟也是一样的


property已经使用了时钟,assert里面直接使用p1,不需要再等时钟上升沿
 楼主| 发表于 2020-10-30 09:40:46 | 显示全部楼层


阿莹的三石 发表于 2020-10-30 07:12
property已经使用了时钟,assert里面直接使用p1,不需要再等时钟上升沿


好的,谢谢,可能就是被优化了,只在断言成功或者失败的第一次打印
发表于 2020-10-30 15:18:09 | 显示全部楼层


新嘴小王安子 发表于 2020-10-30 09:40
好的,谢谢,可能就是被优化了,只在断言成功或者失败的第一次打印


-abvevalnochange
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 03:01 , Processed in 0.030752 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表