在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1018|回复: 1

[求助] systemverilog keyword 'typedef' is not ecpected to be used in this context

[复制链接]
发表于 2020-10-20 14:57:45 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
之前一直编译okay,突然在代码更新之后在一个top文件里的某个include中一直报这个问题,找了一天没有找到,崩溃中~
最后将版本回退,然后bcompare,最后在一个八竿子打不着的地方发现了一个语法错误,缺了一个分号~越崩溃了
为啥VCS的error定位会偏这么多。。。
Tips:一般这种问题都是因为其他地方的语法有问题,至于其他地方在哪,靠运气了看来~
发表于 2020-10-20 17:01:20 | 显示全部楼层
无论啥编程语言都是这样。。。。语法分析器,不肯能预测未来~,只有读入下一个字符等,才能知道错误,文件编译顺序完全影响结果。 如果能知道eda工具把所有文件都dump出一个文件,就知道错误在哪里。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-18 21:58 , Processed in 0.018687 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表