在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5300|回复: 12

[求助] 使用vcs验证带upf的rtl,遇到的power switch问题

[复制链接]
发表于 2020-9-23 19:28:40 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
采用vcs 验证带upf的rtl级环境
其中upf中对power switch定义如下:
create_power_switch pwr_sw_a0 \
  -domain {PD_PS0_A1}\
  -in_supply_port {VDDG VDD} \
  -output_supply_port {VDD VDDSW_algo} \
  -control_port {HEADEN1 u_top.u_algo.pw_sw_en} \
  -ack_port  {HEADOUT1 u_top.u_alog.pw_sw_ack} \
  -on_state  {HIGH VDDG {!HEADEN1}}
map_power_switch pwr_sw_a0 \
   -domain {PD_PS0_A1} \
   -lib_cells {HEADBUFTIEMDHDV32}

其中HEADBUFTIEMDHDV32真值表如下。HEADOUT1与HEADEN1同相。

真值表

真值表

但是仿真时,却发现HEADOUT1与HEADEN1相反。不知为什么?我用的是vcs2017,请问create_power_switch中的-ack_port信号指的是什么呢?是lib_cells中的输出还是反应的输出电压呢?
另外发现vcs中编译upf时,竟然不加载带这个cell的库文件也过了。后来发现根本没有编译map_power_switch这句话,是vcs不支持吗??

upf仿真的结果

upf仿真的结果

(仿真结果图,其中HEADEN1和HEADOUT1相反)
发表于 2020-11-2 15:49:45 | 显示全部楼层
interesting!!
发表于 2021-3-5 11:37:12 | 显示全部楼层
你好,请教一下VCS需要添加哪些license才能仿加载upf文件,我目前添加了几个native的都没有作用。
发表于 2021-3-5 12:46:30 | 显示全部楼层
-ack_port 发出了powerswitch开关动作完成的信号
发表于 2022-2-10 22:54:06 | 显示全部楼层
thanks
发表于 2022-2-18 17:35:54 | 显示全部楼层
vcs肯定是支持upf的啊,单是前仿真的话,确实不需要map_cell之类的库文件也是可以仿过的,这个库文件加上之后应该是综合之后的仿真了.鄙人拙见
 楼主| 发表于 2022-5-19 10:20:24 | 显示全部楼层


bgty8z 发表于 2022-2-18 17:35
vcs肯定是支持upf的啊,单是前仿真的话,确实不需要map_cell之类的库文件也是可以仿过的,这个库文件加上之 ...


是的,rtl也可以进行upf仿真,这个时候,没有cell
,所有不支持power switch  和map
 楼主| 发表于 2022-5-20 16:28:29 | 显示全部楼层
总结一下,在rtl阶段,并没有实际的cell,所以upf有些定义不能识别,DC综合后的网表能识别一些isolation,retention,level_shifter,但是没有powerswitch,只有经过ICC之后的网表,才能识别powerswitch
发表于 2022-7-7 18:56:21 | 显示全部楼层


randolpha 发表于 2021-3-5 12:46
-ack_port 发出了powerswitch开关动作完成的信号


这个开关动作完成,是指“开”完成,还是“关”完成?那具体会多长时间才能完成呢,达到电压的90%,发ack 吗?
发表于 2022-11-16 17:10:24 | 显示全部楼层


杨一9009 发表于 2022-5-20 16:28
总结一下,在rtl阶段,并没有实际的cell,所以upf有些定义不能识别,DC综合后的网表能识别一些isolation,r ...


楼主我想问下:      你的意思是不是说rtl和upf可以使用vcs仿真。dc综合后的netlist_dc.v和upf_dc,因为综合后netlist_dc.v缺少power_switch_cell,所以不能使用VCS仿真。如果想对网表进行仿真,需要将netlist_dc.v和upf_dc通过ICC处理,在netlist_dc.v中加入power_switch_cell生成新的netlist_icc.v和upf_icc,这样才可以对netlist_icc.v和upf_icc进行vcs仿真。


我在进行vcs仿真时遇到问题,使用dc综合后的netlist_dc.v和upf_dc进行vcs仿真时,会报错,提示电压进入不确定态。但是用vcs直接仿真rtl和upf却不会出错,想请教下解决处理方法。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-18 22:29 , Processed in 0.030838 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表