在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2759|回复: 1

[讨论] $mc_mirror 或 hdl_xmr 的作用

[复制链接]
发表于 2020-9-14 23:49:41 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

最近看到这个用法的代码:


           xm_mirror (destination => "realx",            
               source      => "real1",         
               verbose     => "verbose");





但是我在自己的verilog demo试一试,
      reg  xm_aa ;
      $xm_mirror ("xm_aa", "/rtl/");


就会报错
      xmsim: *E,PNOVHD: No Vhdl root in design: /rtl/.
      xmsim: *E,EMIRR: The above error is for XMMIRROR at File : ./top_tb.sv, line : 54 .
      xm_mirror, xm_aa = x


根据提示信息,他这是必须指向VHDL代码吗? 还有VHDL root是啥?类似于top?

发表于 2020-9-15 09:31:31 | 显示全部楼层
vhdl verilog层次 seperator不一样
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 20:04 , Processed in 0.783113 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表