在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2486|回复: 2

[求助] 时钟多周期路径set_multicycle_path的用法

[复制链接]
发表于 2020-8-12 12:28:50 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
对于一些组合逻辑来说(如加法器、乘法器)可能在一个时钟周期内完不成,而我们在写代码时也留了足够的周期来完成运算,那么我们就需要告诉DC对于这些组合逻辑的传输是允许多个时钟周期的,如set_multicycle_path –setup 6 –from {A_reg
  • B_reg
  • }–to C_reg
  • ;#即从寄存器A_regC_reg,或从B_regC_reg,允许用6倍的周期(默认是一个周期)。但是这样做会改变hold time的检查(hold的检查延后了5个周期),所以必须调整hold time的检查,即set_multicycle_path –hold 5 –from {A_reg
  • B_reg
  • }–to C_reg
  • ; #即相比setup的检查hold的检查要向前移动5时钟周期,保证DCA_reg触发后的第一个周期检查hold


    我想问一下各位大佬,这个寄存器A_reg或B_reg、C_reg是在verilog里直接定义的寄存器还是DC后网表里的产生的寄存器,如果是网表里的,那我该怎么在网表里去查找这段路径进行约束?还有如果在DC里进行了多周期路径的约束,那么在icc时是否还需要再次进行约束?
  • 发表于 2020-8-12 13:03:29 | 显示全部楼层
    DC与ICC时序优化一个迭代过程,需要反复多次。
    发表于 2020-8-12 15:05:27 | 显示全部楼层
    本帖最后由 optihack 于 2020-8-12 15:06 编辑

    RTL和Netlist的SDC 有时候需要用wildcard去表示,也可以通过一些hier的pin去找寻到。如何找到netlist REG其实就是一个使用tcl command的事情,没有具体case无法给出具体答案。


    DC/ICC 的SDC是要单独下的,DC的multicycle 和ICC 无关。
    您需要登录后才可以回帖 登录 | 注册

    本版积分规则

    关闭

    站长推荐 上一条 /3 下一条

    ×

    小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
    ( 京ICP备:10050787号 京公网安备:11010502037710 )

    GMT+8, 2024-4-25 11:30 , Processed in 0.018391 second(s), 6 queries , Gzip On, Redis On.

    eetop公众号 创芯大讲堂 创芯人才网
    快速回复 返回顶部 返回列表