在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3156|回复: 8

[求助] Python在验证领域的应用讨论

[复制链接]
发表于 2020-7-22 20:41:17 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
目前python得到了程序员广泛的喜爱,而且python拥有很多的库,在ic验证领域应该有很多可以施展的地方'个人觉得在激励的构造方面、在平台的自动化方面都可以有所作为,想听听大家的观点
发表于 2020-7-23 09:05:17 | 显示全部楼层
可以用于编写一些回归控制的脚本,dc 库的评估等
发表于 2020-7-23 11:10:04 | 显示全部楼层
https://docs.cocotb.org/en/latest/index.html

What is cocotb?
cocotb is a COroutine based COsimulation TestBench environment for verifying VHDL and SystemVerilog RTL using Python.

cocotb is completely free, open source (under the BSD License) and hosted on GitHub.

cocotb requires a simulator to simulate the HDL design and has been used with a variety of simulators on Linux, Windows and macOS. Please check the Simulator Support page for specifics.

A (possibly older) version of cocotb can be used live in a web browser on EDA Playground.

How is cocotb different?
cocotb encourages the same philosophy of design re-use and randomized testing as UVM, however is implemented in Python.

With cocotb, VHDL or SystemVerilog are normally only used for the design itself, not the testbench.

cocotb has built-in support for integrating with continuous integration systems, such as Jenkins, GitLab, etc. through standardized, machine-readable test reporting formats.

cocotb was specifically designed to lower the overhead of creating a test.

cocotb automatically discovers tests so that no additional step is required to add a test to a regression.

All verification is done using Python which has various advantages over using SystemVerilog or VHDL for verification:

Writing Python is fast - it’s a very productive language.

It’s easy to interface to other languages from Python.

Python has a huge library of existing code to re-use.

Python is interpreted - tests can be edited and re-run without having to recompile the design or exit the simulator GUI.

Python is popular - far more engineers know Python than SystemVerilog or VHDL.
发表于 2020-7-24 09:07:57 | 显示全部楼层
期待回复
发表于 2020-7-24 09:36:29 | 显示全部楼层


精武英雄 发表于 2020-7-23 11:10
https://docs.cocotb.org/en/latest/index.html

What is cocotb?


这是什么神仙工具呢,用python造用例吗
发表于 2020-7-24 13:11:13 来自手机 | 显示全部楼层
以前用perl干的python都可以。
 楼主| 发表于 2020-7-26 18:03:39 | 显示全部楼层
我目前就在学习cocotb,我觉得是一个很好的方向,正是我所构思的方面,大家一起来学习交流
发表于 2020-9-29 17:32:56 | 显示全部楼层
python目前感觉可以做自动化用例生成,结果比对,自动回归,还有很多
发表于 2021-12-2 16:51:45 | 显示全部楼层
cocotb和传统sv/UVM平台比较,有什么优缺点
像传统平台的复用,cocotb是怎么做的?
cocotb使用有什么限制场景吗?
我看着验些通用协议挺好用,其他场景(eg:bt,定制ip,st等)不晓得好不好用?
现在用这个的多吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 07:14 , Processed in 0.027599 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表