在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: Roysunal

[求助] 【已解决】关于dc 读取.v文件

[复制链接]
发表于 2020-6-21 11:04:20 | 显示全部楼层


Roysunal 发表于 2020-6-19 20:34
我原来core.rtl文件里定义了一个rtl.list包含所有的.v,然后analyze调用rtl.list效果应该一样的吧。我刚 ...


不用行结束的 \
 楼主| 发表于 2020-6-23 11:08:18 | 显示全部楼层


感谢你的回复,我后来又用core下面的子模块综合了一下,发现了同样的问题,在report_design中没有找到cant find的模块,然后我在general目录(存放通用verilog模块供整个soc公用)的.v文件中,找到了cant find 的模块,和原来子模块.v一起综合,link通过了,问题暂时解决了。
发表于 2022-2-3 17:31:34 | 显示全部楼层
楼主大大,最后是怎么解决的?方便分享一下dc的脚本文件吗?万分感谢!!!
 楼主| 发表于 2022-2-19 11:33:39 | 显示全部楼层


Eric.J.Zhou 发表于 2022-2-3 17:31
楼主大大,最后是怎么解决的?方便分享一下dc的脚本文件吗?万分感谢!!! ...


跟dc脚本没关系,是.v读的不全的原因。
发表于 2023-11-26 10:29:38 | 显示全部楼层


Roysunal 发表于 2020-6-23 11:08
感谢你的回复,我后来又用core下面的子模块综合了一下,发现了同样的问题,在report_design中没有找到can ...


感谢楼主大大,我这次跑综合发现了类似的问题,DC貌似对一个.v file里的后几个module无法识别
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 21:40 , Processed in 0.024357 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表