在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1610|回复: 0

[讨论] ModelsimSE & debussy FPGA仿真

[复制链接]
发表于 2020-6-9 10:18:47 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
参考各位大佬的  https://www.cnblogs.com/yousun/p/3178185.html
                        http://blog.chinaaet.com/crazybird/p/5100000161

ModelsimSE& debussy FPGA仿真
现在用的是quartus与modelsim-altera的联调,似乎还是可以的,但就是每次稍微改一下代码,想要添加一些输出信号的时候,又得改很多地方,其是现在自己做的设计是越来越大,而且仿真时间也越来越长。modelsimSE是很好的FPGA波形仿真工具,而debussy查看代码和波形非常方便。两种工具相结合各取其长处,对fpga代码的编写和仿真就非常方便,极大提好效率。安装步骤如下:
Step1: 下载检查modelsimSE版本,必须是win32,win64的驱动dll在Debussy5.4没有办法识别。(现在比较流行的FPGA仿真工具,windows:modelsim+debussy,Linux:VCS+verdi)。
Step2:安装ModelsimSE。安装ModelsimSE过程中一直选择yes既可,最后reboot(重启)询问选Yes,NO都可以。
Step3:破解ModelsimSE。将破解文件(MentorKG.exe和patch_dll.bat)复制到安装目录win32文件夹下(例: C:\modeltech32_10.1c\win32文件夹中)。
Step4:进入安装目录win32文件夹找到mgls.dll和mgls64.dll两个文件,去掉只读属性。
Step5:运行patch.dll。稍等一段时间后生成一个TXT文本,将其保存为LICENSE.TXT。另存路径选择安装目录win32文件夹中。
Step6:恢复mgls.dll和mgls64.dll两个文件的只读属性
Step7:环境变量设置。桌面,右键选择属性>>高级系统设置>>环境变量>>新建【打开编辑对话框】,【变量名】命名为MGLS_LICENSE_FILE,【变量值】为LICENSE.TXT文件路径。变量值(例:C:\modeltech32_10.1c\win32\LICENSE.TXT
Step8:modelsimSE添加alera库文件,modelsimSE有两种库work和resource(work库存放了当前设计单元所涉及的到的设计单元的库文件,每个工程只有一个,Resource库存放已经编译完成的的设计单元,在不同的工程中可以使用)。
Step9:在modelsim根目录新建文件夹,命名为altera(名字随便取),本次编译的所有库都放在这个文件夹内,方便寻找,也方便以后的仿真。
Step10:在新建的altera文件夹下面新建src文件夹,用来存放编译库的源文件。
Step11:找到altera安装目录源文件(..\altera\13.0\quartus\eda\sim_lib),将源文件复制到刚刚新建的src文件夹下。
file:///C:/Users/RD551/AppData/Local/Temp/msohtmlclip1/01/clip_image002.jpg
Step12:打开modelsim软件,改变modelsim软件的路径,File>Change directory>路径,路径指向上面新建的altera文件的路径下。
file:///C:/Users/RD551/AppData/Local/Temp/msohtmlclip1/01/clip_image004.jpg
Step13:File->new->library。然后输入库名,依次是lpm,220model,altera_primitives等。此时的modelsim主页没有project的页面。
file:///C:/Users/RD551/AppData/Local/Temp/msohtmlclip1/01/clip_image006.jpg
新建完库以后,在altera文件夹下面就有各个库文件的文件夹。
Step14:编译库,在编译的时候一定要注意编译顺序,还有编译的时候,Vhdlverilog只能编译一个,由于我一直用的是Verilog,所以只能编译Verilog。编译库文件,在compile->compile …
Compile Source File
file:///C:/Users/RD551/AppData/Local/Temp/msohtmlclip1/01/clip_image008.jpg
编译lpm库文件,LPM库只编译220pack.v文件
……
编译altera的文件路径:/quartusii安装路径/
file:///C:/Users/RD551/AppData/Local/Temp/msohtmlclip1/01/clip_image010.jpg
Step15:修改库的初始化文件
在modelsim安装路径(例:D\modeltech_10.1a),找到modelsim.ini,去掉只读属性。添加刚刚编译库的路径
file:///C:/Users/RD551/AppData/Local/Temp/msohtmlclip1/01/clip_image012.jpg
修改完成以后,关闭文件modelsim.ini,然后修改成只读属性。
Steps16:安装debussy。双击安装文件Debussy.exe,一路next和yes。安装完成。安装过程过程中有三个选项Typical,Compact和Custom,这个选择Typical。
Steps17:破解debussy。点击crack.exe开始破解软件。在弹出的对话框中,选择Browse,找到安装目录下的debussy执行文件(这里为..\Novas\Debussy\bin\Debussy.exe)点击打开完成。
Steps18:modelsim和debussy联调环境搭建。将debussy的安装路径添加到用户变量path中。右键点击计算机,选择“属性”,“高级系统设置”,高级选项卡中的“环境变量”,在用户变量栏中选择path再点击“编辑”,然后再变量值后面加分号再加上debussy的安装路径,最后一路确定完成环境变量设置。
Steps19:拷贝文件 ..\Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll至modelsimSE安装路径  ..\modeltech_6.5\wein32。
Steps20:取消文件 ..\modeltech_6.5\modelsim.ini的只读属性,打开文件找到         “:Veriuser=veriuser.sl”替换为“Veriuser=novas.dll”(这里一定注意前面的分号也要删除,否则无法正确运行)。保存关闭设置为只读。
Steps21
file:///C:/Users/RD551/AppData/Local/Temp/msohtmlclip1/01/clip_image014.jpg
file:///C:/Users/RD551/AppData/Local/Temp/msohtmlclip1/01/clip_image016.jpg


您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-18 14:39 , Processed in 0.023209 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表