在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 17963|回复: 36

[求助] [求助]AMS数模混合仿真如何入门?

[复制链接]
发表于 2020-3-15 17:23:00 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位大佬大家好!

小弟我最近要做一个RFIC的项目,这个项目需要用AMS做数模混合仿真,但是小弟实在是
不清楚这个东西该如何入门,希望大家能够给予一些指点。

目前这个RFIC是RF部分在Digital的控制下工作的,RF部分和Digital部分分别有彼此的Testbench,
目前相对这个系统做整体性的仿真。

1、数模混合的TestBench是该如何搭建?比如说SPI操作寄存器,然后RF工作。
2、有没有什么合适的AMS数模混合仿真的教程?或者说UserGuide。
3、对于AMS数模混合仿真一般需要什么样的设备?一般一个Case仿真大概需要多长时间?

希望各位大佬能够解决一下小弟的疑问,非常感谢大家!
 楼主| 发表于 2020-3-18 22:16:52 | 显示全部楼层
求大佬指点啊!
 楼主| 发表于 2020-3-30 20:36:04 | 显示全部楼层
我成功把Verilog导入了Virtuoso,生成了symbol,也加到了电路图里面,connect rule也设置了,但是仿真的时候,会报出来“vetor net cannot be connected to hspice/spcetre instances by port name”,这个问题已经困扰了我有一段时间了,求大家帮帮忙。
 楼主| 发表于 2020-3-31 23:31:04 | 显示全部楼层
根据最新结果来看,问题是出在模拟和数字结合的电路上,单独用AMS仿真RTL没有任何问题,单独用AMS仿真Analog也没有问题,但是一旦拼起来,就会报出这个错误。而且,我把所有信号线都撤除之后,就连单体的gnd!都会报出来这个错误。

真的是已经万策尽了,求大佬指点啊!
发表于 2020-4-1 21:38:19 | 显示全部楼层
ams仿真中数字code有多种处理方式,我这边直接调用rtl代码的方式,前提是也要生成一个对应的symbol.
有几个注意点
1.ams新建config 要选template选ams,
2. stop list 加symbol
3.所以rtl code放在一个文件内,
3. ade的option 中的ams simulator下的 options file ,调放rtl code的文件
你可以试试
发表于 2020-6-8 14:21:53 | 显示全部楼层


zgtianlei 发表于 2020-4-1 21:38
ams仿真中数字code有多种处理方式,我这边直接调用rtl代码的方式,前提是也要生成一个对应的symbol.
有几个 ...


怎么样把verilog生成具体的某工艺下的数字电路?
发表于 2020-6-11 17:18:35 | 显示全部楼层
是不是数字部分的vector向量的net不能根据port名字连到模拟部分啊。在设置config的时候注意一下port mapping
 楼主| 发表于 2020-6-12 09:12:03 | 显示全部楼层


jimipage 发表于 2020-6-8 14:21
怎么样把verilog生成具体的某工艺下的数字电路?


那个是synthesize,和这里讨论的ams仿真无关。
 楼主| 发表于 2020-6-12 09:13:48 | 显示全部楼层


janexuechan 发表于 2020-6-11 17:18
是不是数字部分的vector向量的net不能根据port名字连到模拟部分啊。在设置config的时候注意一下port mappin ...


无关,最后问题解决了,本质上是使用的仿真工具的问题,我用的是ncverilog,应该改为irun。
发表于 2020-6-12 11:40:31 | 显示全部楼层
解决了就好。
我们去年用的也是ncverilog,没有这个问题。不过license到期之后,现在用的是irun/xrun。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 22:20 , Processed in 0.027522 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表