在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6358|回复: 13

[求助] 似乎整个class都没有被认出来的情况

[复制链接]
发表于 2020-3-2 17:51:50 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
最近在学着uvm实战的例子,跑自己的代码,但运行之后出现这样的问题:

                               
登录/注册后可看大图

强行注释了monitor的例化后,scoreboard在env的例化也有相同的error提示,目前还是没有找到问题所在,请教大家


 楼主| 发表于 2020-3-2 17:53:32 | 显示全部楼层
和uvm相关的库没有用好有关吗?这里用的命令是vcs -sverilog -timescale=1ns/1ps -ntb_opts uvm-1.1 +incdir+/opt/synopsys/vcs/etc/uvm-1.1/ -f filelist.f -l comp.log
 楼主| 发表于 2020-3-2 17:55:18 | 显示全部楼层
error提示是:
Error-[SE] Syntax error
  Following verilog source has syntax error :
          token 'my_monitor' should be a valid type. Please declare it virtual
  if it is an Interface.
  "my_agent.sv", 6: token is ';'
     my_monitor    mon;
发表于 2020-3-2 19:38:13 | 显示全部楼层
据我所知,张强书中的代码他是用questasim/modelsim跑的,如果用vcs跑可以稍微修改下代码头文件包含等方式跑通。
 楼主| 发表于 2020-3-3 16:28:53 | 显示全部楼层
已解决
发表于 2020-3-5 17:37:02 | 显示全部楼层
文件编译顺序??
发表于 2020-4-23 17:41:57 | 显示全部楼层
没有注册吧
发表于 2020-6-12 17:21:49 | 显示全部楼层
楼主如何解决的?能指点一下吗?
发表于 2020-8-25 15:05:20 | 显示全部楼层
楼主如何解决的?
发表于 2020-8-25 15:15:37 | 显示全部楼层
找到问题了,可以供大家参考一下吧~
顶层的SV中文件的调用顺序问题,顶层的文件应该放在最后调用,否则就会出现上述问题
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 06:57 , Processed in 0.030411 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表