在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4417|回复: 7

[原创] 测试点应该如何分解? #新人求解

[复制链接]
发表于 2020-2-19 17:32:05 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

如图片的题目,应该按什么思路将一个待验证的模块进行测试点的分解和测试向量的规划?

求大佬分析一波,谢谢!

微信图片_20200219172815.png 微信图片_20200219173128.png

 楼主| 发表于 2020-2-28 13:43:24 | 显示全部楼层
大佬们,帮忙分析一下啊
发表于 2020-2-28 17:15:39 | 显示全部楼层
1、时序功能点--》可以用assert
2、其他报文列出报文属性就是cover -- 》cross
3、crc正确性cover
4、异常cover点
5、 时钟复位cover
。。。。
发表于 2020-3-5 20:56:07 | 显示全部楼层
1、复位检查
2、crc正确性,数据:min/max/typ,连续不连续等
3、数据有效长度是否满足,<24怎么样,>100怎么样
4、crc数据结果cover
5、几个关键时序信号的assert
 楼主| 发表于 2020-3-5 23:36:02 | 显示全部楼层


A1985 发表于 2020-2-28 17:15
1、时序功能点--》可以用assert
2、其他报文列出报文属性就是cover -- 》cross
3、crc正确性cover


明白;
另外请教一下,我讲一下我对整个芯片验证的过程的理解,你看一下有哪里是不对的:
1、首先就是RTL级的功能前仿真,是对逻辑功能的仿真,不针对时序(即没有门延时和布线延时)的;
2、完成了前仿真之后,进行逻辑综合,得到综合后的网表,然后对综合网表和RTL设计进行形式验证(用什么工具?需要做什么呢?不了解);
3、形式验证完成后,由版图工具生成版图网表(GDSII database),然后将布线后网表和综合后网表进行形式验证,完成后就可以进行布局布线了;
4、完成了布局布线后得到版图,然后通过LVS(用什么工具?需要做什么呢?)检查GDSII版图与版图网表GDSII database的功能一致性(这时就会加入门延时和布线延时?怎么加呢?);
5、门级仿真是在什么阶段呢?也需要什么工具呢,细节太多了,不懂,如果可以的话,烦请指点我一下,如果有什么资料可以学习参考就更好了!


非常感谢!
 楼主| 发表于 2020-3-5 23:37:24 | 显示全部楼层


chenyunxin 发表于 2020-3-5 20:56
1、复位检查
2、crc正确性,数据:min/max/typ,连续不连续等
3、数据有效长度是否满足,100怎么样



了解了解,如果可以的话,也向你请教一下我问楼上的那个问题,
就是我对整个芯片验证的过程的理解,你看一下有哪里是不对的:
1、首先就是RTL级的功能前仿真,是对逻辑功能的仿真,不针对时序(即没有门延时和布线延时)的;
2、完成了前仿真之后,进行逻辑综合,得到综合后的网表,然后对综合网表和RTL设计进行形式验证(用什么工具?需要做什么呢?不了解);
3、形式验证完成后,由版图工具生成版图网表(GDSII database),然后将布线后网表和综合后网表进行形式验证,完成后就可以进行布局布线了;
4、完成了布局布线后得到版图,然后通过LVS(用什么工具?需要做什么呢?)检查GDSII版图与版图网表GDSII database的功能一致性(这时就会加入门延时和布线延时?怎么加呢?);
5、门级仿真是在什么阶段呢?也需要什么工具呢,细节太多了,不懂,如果可以的话,烦请指点我一下,如果有什么资料可以学习参考就更好了!
非常感谢!

发表于 2020-3-6 17:57:24 | 显示全部楼层
门级仿真是在sdf文件产生后,刚好门级仿真需要添加sdf文件,这个sdf文件有生成时钟树之前和之后的,可以用VCS工具仿真,资料可以参考VCS手册,里面有门级仿真这一章
发表于 2020-3-6 17:59:44 | 显示全部楼层
同问:测试点应该如何分解才能确保分解完全,不会有遗漏,不会重复
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 06:29 , Processed in 0.027562 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表