在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5168|回复: 13

[求助] ICC中电源PAD连接不上core power ring,Warning: wire dropped because obstruction

[复制链接]
发表于 2019-12-20 10:37:10 | 显示全部楼层 |阅读模式
500资产
在icc中,通过preroute_instances -primary_routing_layer preferred -preferred_routing_layer high命令,将电源PAD的引脚同core power ring相连接的时候, 遇到一堆的 warning如下:
WARNING: Failed to make a connection for the following pin:
((1728.520, 1007.905) (2035.995, 1010.105))(Net: VDD)(wire on layer: M4 [64])
Warning: wire dropped because obstruction,((2036.070 1007.905) (2036.220 1010.105)) (Net: VDD) (Layer: M3 [63]) isblocked by ((1729.930 930.200) (2035.995 2037.060)) (Net: null) (Layer: M3[63]). (PGRT-030)
WARNING: Failed to make a connection for the following pin:
((1728.520, 1553.955) (2035.995, 1556.155))(Net: VDD)(wire on layer: M4 [64])
Warning: wire dropped because obstruction,((2036.070 1553.955) (2036.220 1556.155)) (Net: VDD) (Layer: M3 [63]) isblocked by ((1729.930 930.200) (2035.995 2037.060)) (Net: null) (Layer: M3[63]). (PGRT-030)
导致
电源PAD的引脚同core power ring连接不上,请问这个问题怎么解决啊??????

求高手指点啊!!!!!

发表于 2019-12-23 13:38:27 | 显示全部楼层
是不是有route guide啊
 楼主| 发表于 2019-12-23 14:32:00 | 显示全部楼层


oucliyang 发表于 2019-12-23 13:38
是不是有route guide啊


什么是route guide??? 是一条命令吗?
发表于 2019-12-23 17:02:56 | 显示全部楼层
我只是猜测有route guide,因为你的Warning: wire dropped because obstruction,((2036.070 1007.905) (2036.220 1010.105)) (Net: VDD) (Layer: M3 [63]) isblocked by ((1729.930 930.200) (2035.995 2037.060)) (Net: null) (Layer: M3[63]). (PGRT-030),是说M3的这条VDD被一个null net挡住了,所以你看看你这个区域内是不是有其他走线,或者route guide,所以工具不让VDD再在这个区域走线了,检查一下坐标
发表于 2019-12-23 19:51:12 | 显示全部楼层
先derive_pg,再连接看看
 楼主| 发表于 2019-12-23 20:52:00 | 显示全部楼层


mandrake 发表于 2019-12-23 19:51
先derive_pg,再连接看看


还是不行啊,大佬!!!
发表于 2019-12-23 21:08:25 | 显示全部楼层
类似derive_pg_connection -cell 【get_cells -all ] -power_pin VDD -power_net DVDD_IO -gound_pin VSS -ground_net VSS -reconnect ,你再查查帮助
 楼主| 发表于 2019-12-23 21:20:28 | 显示全部楼层


mandrake 发表于 2019-12-23 21:08
类似derive_pg_connection -cell 【get_cells -all ] -power_pin VDD -power_net DVDD_IO -gound_pin VSS - ...


好的,我看看,谢谢大佬!!!
 楼主| 发表于 2019-12-23 22:16:41 | 显示全部楼层


dy19870425 发表于 2019-12-23 21:20
好的,我看看,谢谢大佬!!!


还是不行啊!!!!
 楼主| 发表于 2019-12-23 22:43:23 | 显示全部楼层


dy19870425 发表于 2019-12-23 22:16
还是不行啊!!!!


VDD PAD连接不到core power ring上,但是VSS PAD能连接到core power ring上。很奇怪啊!!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 11:21 , Processed in 0.027905 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表