在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1900|回复: 5

[求助] UVM编译问题

[复制链接]
发表于 2019-9-23 22:44:14 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
有1个问题请教一下:
1、vcs -sverilog -ntb_opts uvm -debug  .....
这个编译选项中是否需要把driver.sv  sequencer.sv  sequence.sv 等列入到filelist.f中进行编译呢???我看网上的实验例子,编译中只需要加入dut的相关文件和interface.sv tb.v等即可,其他的文件只需要加入+incdir指定文件所在路径即可????

发表于 2019-9-24 11:01:07 | 显示全部楼层
大概如下就可以编译了,你可以试试,编译器会到 +incdir 下去找include的文件,另注意 include 的顺序是关联编译顺序的。

`include "uvm_macros.svh"
import uvm_pkg::*;
...
`include "driver.sv"
`include "sequence.sv"
...
发表于 2019-9-24 19:51:16 | 显示全部楼层
当然阔以不把那些加在filelist中,用+libext+.v+.sv+.svh+.svp -y ${search_dir}
发表于 2019-9-25 10:33:20 | 显示全部楼层
三楼的方法应该可以,也可以建一个package,在里面 `include "driver.sv"等等,不用加路径,然后再vcs选项中加+incdir选项
发表于 2020-5-16 19:09:48 | 显示全部楼层
学习了
发表于 2020-5-22 09:32:09 | 显示全部楼层
两种方法都可以
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 19:08 , Processed in 0.021227 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表