在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2304|回复: 2

[求助] max transition问题求助

[复制链接]
发表于 2019-9-21 23:59:34 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 duanwuqqqqqq 于 2019-9-22 00:13 编辑

综合后timing报告有一条是这样的(由于log不能拷出,下面只做示意说明):
Startpoint: en_i  (input port)
Endpoint:  en_reg(rising edge-triggered flip-flop)

Point                                         Fanout                Trans                Incr              Path
----------------------------------------------------------------------------------------------------------------------------


clock V_CLK (rise edge)                                                                0.00              0.00
clock network delay (ideal)                                                            0.00              0.00
input external delay                                                                      0.50              0.50  f
en_i (in)                                                              0.00                  0.00              0.50  f
en_i (net)                                   1                                               0.00              0.50  f
U0001/I (INV8_XXXX)                                           0.02                 0.01 *            0.51  f
U0001/ZN (INV8_XXXX)                                        0.02                 0.02              0.53  r

n0001 (net)                                1                                               0.00              0.53  r

U0002/I (INV8_XXXX)                                           0.02                 0.01 *            0.54  r

U0002/ZN (INV8_XXXX)                                        0.02                 0.02              0.56  f


n0002 (net)                                1                                               0.00              0.56  f
……
若干个INV,延时和上面的INV差不多
……
U00022/I (INV16_XXXX)                                          0.04                 0.02 *            1.31  f

U00022/ZN (INV16_XXXX)                                       0.06                 0.05              1.36  r


n00022 (net)                                1                                               0.00              1.36  f


U00023/I (INV2_XXXX)                                           1.73                 1.02 *            2.38  f

U00023/ZN (INV2_XXXX)                                        0.16                 0.15              2.53  r


……

若干个INV,延时和最上面的INV差不多

……

n00033 (net)                                1                                               0.00              2.70  f


en_reg/D (DFF)                                               0.01                    0.00 *            2.70 f
data arrival time                                                                                                 2.70


clock V_CLK (rise edge)                                                                  1.67               1.67
clock network delay (ideal)                                                             0.00                1.67
clock uncertainty                                                                           -0.50               1.17
en_reg/CK (DFF)                                                                           0.00                1.17 r
library setup time                                                                          -0.04               1.13
data required time                                                                                               1.13
----------------------------------------------------------------------------------------------------------------------------
data required time                                                                                               1.13
data arrival time                                                                                                 -2.70
----------------------------------------------------------------------------------------------------------------------------

slack (VIOLATED)                                                                                              -1.57

疑问:为什么timing报告里面红色的INV延时那么大?
          n00022 的fanout为1,为什么transition会那么大,走线太长导致的?另外我设置了set_max_transition 0.15 [current_design],工具不是应该控制current_design下面所有的transition不会大于0.15么?
不知道是不是我哪里理解的有错误,希望得到大家的帮助,谢谢!


发表于 2019-9-22 01:07:14 | 显示全部楼层
为什么transition那么大?
那得看是多大的inv。。。也得看走多远。。。
然后 set_max_transition是在primetime超过的话会warning而已
 楼主| 发表于 2019-9-22 12:07:33 | 显示全部楼层


asdtick 发表于 2019-9-22 01:07
为什么transition那么大?
那得看是多大的inv。。。也得看走多远。。。
然后 set_max_transition是在primet ...


谢谢回复!
那得看是多大的inv。。。也得看走多远。。。
如果红色的INV2驱动能力比较小的话,DC为什么不换个大点的呢,例如log里面的INV8,选择合适的器件不是综合工具的基本任务么?
如果是走线比较远导致的,我也有一点疑问,就是DC为什么不控制走线的长度,使slack满足要求呢?
到底是什么导致工具不能选择合适的器件,不能控制合理的走向长度?
麻烦再解答一下,再次感谢!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 16:57 , Processed in 0.019630 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表