在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9830|回复: 23

[求助] vcs执行崩溃是什么原因啊?

[复制链接]
发表于 2019-9-7 10:41:30 | 显示全部楼层 |阅读模式
20资产
更换了不同的linux内核,执行vcs都会崩溃,是vcs版本不正确?还是GCC等相关linux工具有问题啊?
g++  -o ../simv   -Wl,-rpath-link=./ -Wl,-rpath='$ORIGIN'/simv.daidir/ -Wl,-rpath=./simv.daidir/ -Wl,-rpath='$ORIGIN'/simv.daidir//scsim.db.dir  -m32 -m32 -rdynamic   amcQwB.o objs/amcQw_d.o   _28987_archive_1.so  SIM_l.o       rmapats_mop.o rmapats.o rmar.o  rmar_llvm_0_1.o rmar_llvm_0_0.o          /eda/synopsys/vcs/vcs1606/linux/lib/libzerosoft_rt_stubs.so /eda/synopsys/vcs/vcs1606/linux/lib/libvirsim.so /eda/synopsys/vcs/vcs1606/linux/lib/liberrorinf.so /eda/synopsys/vcs/vcs1606/linux/lib/libsnpsmalloc.so    /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so /eda/synopsys/vcs/vcs1606/linux/lib/libsimprofile.so /eda/synopsys/vcs/vcs1606/linux/lib/libuclinative.so   -Wl,-whole-archive /eda/synopsys/vcs/vcs1606/linux/lib/libvcsucli.so -Wl,-no-whole-archive          /eda/synopsys/vcs/vcs1606/linux/lib/vcs_save_restore_new.o /eda/synopsys/vcs/vcs1606/linux/lib/ctype-stubs_32.a -ldl  -lc -lm -lpthread -ldl
../simv up to date
Command line: simv -a vcs.log +vpdfile+xaVCS.vpd


--- Stack trace follows:


Dumping VCS Annotated Stack:
#0  0xf7700430 in __kernel_vsyscall ()
#1  0xf29d52fb in waitpid () from /lib/libc.so.6
#2  0xf2955ade in do_system () from /lib/libc.so.6
#3  0xf2955ee5 in system () from /lib/libc.so.6
#4  0xf2c1ed8b in system () from /lib/libpthread.so.0
#5  0xf71a83ac in SNPSle_10ee25eff68cd8461c9146fa1d0b35e87067f3c8015b313e639d2928478c79b3f673f99203bcf8be64600612100082236bffb2007f1e0ef9 () from /eda/synopsys/vcs/vcs1606/linux/lib/liberrorinf.so
#6  0xf71a983c in SNPSle_10ee25eff68cd8461c9146fa1d0b35e87067f3c8015b313efba706aab251478fa49e66610e453774633a6c152e7ef778f2202cda681f3d4e () from /eda/synopsys/vcs/vcs1606/linux/lib/liberrorinf.so
#7  0xf71a1fe1 in SNPSle_d35ca1ff70d465c2b9b1a72eee90a506fdd009d3de3db1de () from /eda/synopsys/vcs/vcs1606/linux/lib/liberrorinf.so
#8  0xf4f87b70 in SNPSle_64133461705005bb725549e2e6fa1b3f () from /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so
#9  0xf4e03365 in SNPSle_82244d58c54c18c70d63edc9becab634 () from /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so
#11 0xf716c90d in mem_free () from /eda/synopsys/vcs/vcs1606/linux/lib/libsnpsmalloc.so
#12 0xf7157406 in snpsFreeFunc () from /eda/synopsys/vcs/vcs1606/linux/lib/libsnpsmalloc.so
#13 0xf4c1a171 in SNPSle_77e776236473f4bc83d28b2811172395 () from /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so
#14 0xf4c14d4d in SNPSle_4e2b4bf1677349c165275718f4085a72 () from /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so
#15 0xf4ac4cec in SNPSle_e08561af70b15158c1effee38014f2e6 () from /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so
#16 0xf4ac4e43 in SNPSle_6a600e65c1e59889d2167a3056e08869 () from /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so
#17 0xf4ac4ea3 in SNPSle_79019f86c02f3a7a4fc861b56b22e07a449f142e33a64a009cd88060dca82713b97bc310751f5b0c () from /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so
#18 0xf2c16b4c in start_thread () from /lib/libpthread.so.0
#19 0xf2a1501e in clone () from /lib/libc.so.6
#0  0xf7700430 in __kernel_vsyscall ()
#1  0xf29d57a6 in nanosleep () from /lib/libc.so.6
#2  0xf29d557d in sleep () from /lib/libc.so.6
#3  0xf4a47c27 in SNPSle_95ae9cc2e78cc668673c60b8d88c4908 () from /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so
#4  0xf4a47dea in SNPSle_92de4d0d4cf0d6931bc37e8d42a01d93 () from /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so
#5  0xf4a464e6 in SNPSle_b76ef993ee82b3d58a5cadddbec8b67c () from /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so
#6  0xf4a467ee in SNPSle_f28f24b8c84ac8f6e02e0b03bcd33aa8 () from /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so
#7  0xf4a122b0 in SNPSle_b02289328df49303de0a4a986839ba718c1b162de585ec41 () from /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so
#8  0xf4a12ee5 in SNPSle_b02289328df493035a8cfe7a2a96e3f1 () from /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so
#9  0xf4a14ea1 in SNPSle_7309f02bf869c35a32837a6e487da0db () from /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so
#10 0xf4a15b41 in SNPSle_b02289328df493035a8cfe7a2a96e3f14356a2494eb82428 () from /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so
#11 0xf4a1712d in SNPSle_b02289328df493035a8cfe7a2a96e3f105a2510afcb822b0f2351f16fde66779 () from /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so
#12 0xf4a17a7a in SNPSle_ba11b1edbd04051f5bb81b1861cdf84a () from /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so
#13 0xf4a039af in SNPSle_2e65c0794628fc5af60953149776c29b () from /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so
#14 0xf49f9ed6 in SNPSle_541f757be362289a7c9e5618c0ff28327846f8d3cc02839f () from /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so
#15 0xf49f9001 in SNPSle_541f757be362289a7c9e5618c0ff283244765933a2ab0071 () from /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so
#16 0xf49f2644 in SNPSle_c0de1345d5ab80930e06dd2b68f214c3 () from /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so
#17 0xf49f3883 in SNPSle_25cd5712eacded5feaee03dc3430943e () from /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so
#18 0xf4fa085b in SNPSle_490598bfebcc8e8183ad3550288b1f82 () from /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so
#19 0xf4fac178 in SNPSle_490598bfebcc8e81 () from /eda/synopsys/vcs/vcs1606/linux/lib/libvcsnew.so
#20 0x08050deb in main ()
No context available
Note: Execution of simv exited with code 1
cpu time: .091 seconds to compile + .206 seconds to elab + .127 seconds to link + 1.047 seconds in simulation

发表于 2019-9-10 09:02:19 | 显示全部楼层
感觉像是license的问题
 楼主| 发表于 2019-9-10 11:04:51 | 显示全部楼层


puxiancheng 发表于 2019-9-10 09:02
感觉像是license的问题


怎么判断是license的问题?其他软件都可以使用。
发表于 2019-9-10 11:18:36 | 显示全部楼层


vectorli 发表于 2019-9-10 11:04
怎么判断是license的问题?其他软件都可以使用。


因为我遇到过类似的问题。也是vcs报什么stack。其他软件也可以跑。不知道你用的什么license
试试这个
http://bbs.eetop.cn/thread-553702-1-1.html
 楼主| 发表于 2019-9-11 08:16:56 | 显示全部楼层


puxiancheng 发表于 2019-9-10 11:18
因为我遇到过类似的问题。也是vcs报什么stack。其他软件也可以跑。不知道你用的什么license
试试这个
htt ...


你的vcs版本是多少?我用这个产生的license貌似在1606版本也是用不了的。
发表于 2019-9-11 09:24:37 | 显示全部楼层


vectorli 发表于 2019-9-11 08:16
你的vcs版本是多少?我用这个产生的license貌似在1606版本也是用不了的。


好像13-16都有试过
 楼主| 发表于 2019-9-11 11:14:06 | 显示全部楼层


puxiancheng 发表于 2019-9-11 09:24
好像13-16都有试过


执行的时候,提示:Please contact VCS Customer Support at 1-800-VERILOG for more information.是不是license不完整所致?
Parsing design file 'adder.vhd'
Parsing design file 'top.vhd'
Parsing design file 'adder_behav2.vhd'
Parsing design file 'top_behav.vhd'
Parsing design file 'adder_behav.vhd'


Warning-[LCA_FEATURES_ENABLED] Usage warning
  LCA features enabled by '-lca' argument on the command line.  For more
  information regarding list of LCA features please refer to Chapter "LCA
  features" in the VCS/VCS-MX Release Notes


Doing common elaboration
Feature removed during lmreread, or wrong
SERVER line hostid.
Check your license file.


Please contact VCS Customer Support at 1-800-VERILOG for more information.




发表于 2019-9-11 11:35:12 | 显示全部楼层


vectorli 发表于 2019-9-11 11:14
执行的时候,提示:Please contact VCS Customer Support at 1-800-VERILOG for more information.是不是 ...


看起来是的。你可以加这个feature到synopsys.src中,重新生成一个试试。
但是我这里没有这个feature,也没有报错。
我看你是仿真vhdl,我没有仿过vhdl,不知道这个有没有影响。
发表于 2019-11-7 14:35:50 | 显示全部楼层
感觉像是内存溢出了吧
发表于 2019-11-16 22:49:14 | 显示全部楼层
printf和display等debug代码太多了。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 08:34 , Processed in 0.028292 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表