在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: 华胥之国

[解决] Verdi查看波形时,某些信号(比如FIFO的寄存器信号)加不进去?

[复制链接]
发表于 2019-9-16 16:56:08 | 显示全部楼层
$fsdbDumpfile(“tb.fsdb”);

$fsdbDumpvars( 0, tb_sample, "+mda", "+all" );

如上,在fsdbDumpvars里加“+mda” 就可以。
发表于 2019-9-27 14:37:13 | 显示全部楼层


jinjz 发表于 2019-9-16 16:56
$fsdbDumpfile(“tb.fsdb”);

$fsdbDumpvars( 0, tb_sample, "+mda", "+all" );


学习,非常感谢
发表于 2019-9-27 17:53:43 | 显示全部楼层


janlesion 发表于 2019-8-3 00:01
在dump波形的地方使用$fsdbDumpMDA( )函数把Memory数组Dump出来。
        例如RTL中有一数组定义为  
reg [7:0] m ...


有办法把for循环内或者generate内的全部变量dump出来吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 11:50 , Processed in 0.016879 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表