在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9753|回复: 12

[解决] Verdi查看波形时,某些信号(比如FIFO的寄存器信号)加不进去?

[复制链接]
发表于 2019-8-2 09:53:27 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 华胥之国 于 2019-8-5 11:43 编辑

刚开始学习使用Verdi,在一个异步fifo的设计中,想查看FIFO的寄存器reg[7 : 0] buff[0:15]的波形,
对buff加信号的时候总是报出“Unrecognizedsignal : FIFO_TB.U_FIFO.buff[0:15],nofurther operation”的提示。

在论坛上看到一个帖子里说,可能是没加dumpfile语句,但是实际上我是已经加了这个语句的。
然后还有说法是,“在testbench中例化模块以外的信号拉出来就会这样子,似乎信号不跳变就会报这个”,

想问下确实是只能这样吗?还是说有其他的解决办法?
请求大神的帮助,谢谢啦!

附上我的dump设置:
initial
  begin
    $fsdbDumpfile(“tb.fsdb”);
    $fsdbDumpvars;
  end

发表于 2019-8-2 22:13:13 | 显示全部楼层
memory 不能直接看waveform.
試試 memory dump 或 multi -dimension -array.
发表于 2019-8-2 23:07:26 | 显示全部楼层


thjan65 发表于 2019-8-2 22:13
memory 不能直接看waveform.
試試 memory dump 或 multi -dimension -array.


XXXXXXXX
发表于 2019-8-2 23:14:33 | 显示全部楼层


thjan65 发表于 2019-8-2 22:13
memory 不能直接看waveform.
試試 memory dump 或 multi -dimension -array.


>>>>>>
发表于 2019-8-3 00:01:03 | 显示全部楼层
在dump波形的地方使用$fsdbDumpMDA( )函数把Memory数组Dump出来。
        例如RTL中有一数组定义为  
reg [7:0] mem[4095:0];
        在dump fsdb波形的文件中添加  
        $fsdbDumpMDA(tb_top.uSlave.mem);
发表于 2019-8-3 14:48:26 | 显示全部楼层
对于二维数组,5楼的方法是正确的。
 楼主| 发表于 2019-8-5 11:35:32 | 显示全部楼层


janlesion 发表于 2019-8-3 00:01
在dump波形的地方使用$fsdbDumpMDA( )函数把Memory数组Dump出来。
        例如RTL中有一数组定义为  
reg [7:0] m ...


谢谢回复,你讲的方法很有用,读出波形了
 楼主| 发表于 2019-8-5 11:37:07 | 显示全部楼层


thjan65 发表于 2019-8-2 22:13
memory 不能直接看waveform.
試試 memory dump 或 multi -dimension -array.


谢谢!
 楼主| 发表于 2019-8-5 11:41:19 | 显示全部楼层
对于这个问题,我在论坛上找到了一个有关fsdbDumpMDA的一些介绍,贴出来分享一下
http://blog.eetop.cn/blog-850711-1610024.html?_dsign=93c4bf3c
发表于 2019-8-24 08:57:37 | 显示全部楼层
nice share thanks
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 16:57 , Processed in 0.029692 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表