在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3938|回复: 5

[求助] vivado在testbench中读取文件,读不到数据

[复制链接]
发表于 2019-7-21 17:37:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位大神,我在用vivado仿真的时候,从testbench文件中读取外部文件,读不到数据,全是xxxx,请指点一下!谢谢!

我的testbench文件读取部分如下:
    integer i;  
    reg [9:0] stimulus[1:data_num];   
    initial
    begin
        $readmemb("E:\RaspberryPI-3B\verilog\IP\src\dsin_in.txt", stimulus);  
        i = 0;
        repeat(data_num) begin   //重复读取数组中的数据
            i = i + 1;
            din = stimulus[i];
            #clk_period;        
        end
    end

我的数据是二进制的,象以下的形式:
0000000000

0011011000
0100000101
0001101000
1110001001
1100100111
1110011001


无标题.png
 楼主| 发表于 2019-7-21 18:23:45 | 显示全部楼层
本帖最后由 freebigfish 于 2019-7-21 21:48 编辑

原来是路径的符号反了,不过读出来的波形不对,应该是正弦波的,可是却成了图中的样子,请大神帮看一下,附件是我的数据文件,数据是10位的signed型。

无标题.png

dsin_in.rar

595 Bytes, 下载次数: 3 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2019-7-22 13:45:37 | 显示全部楼层
这个是数据有符号和无符号格式弄错了
 楼主| 发表于 2019-7-22 18:41:14 | 显示全部楼层
是我的数据文件错还是我的testbench文件错了,能详细说一下吗?
 楼主| 发表于 2019-7-23 11:13:03 | 显示全部楼层
问题解决了,确实是数据格式的问题,谢谢
发表于 2022-2-11 15:38:21 | 显示全部楼层
跟楼主犯了一样的错误,'/'写成‘\’了,
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 06:26 , Processed in 0.024383 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表