在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1924|回复: 3

[求助] 求助,modelsim编译uvm文件失败

[复制链接]
发表于 2019-6-28 00:08:13 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在Modelsim用自带的uvm库,想编译一下,搞了两天了,还是编译失败,求助大神解答一下啊!!!十分感谢,下边是我的操作过程
vlib work
set UVM_HOME  D:/modelsim/verilog_src/uvm-1.1a
# D:/modelsim/verilog_src/uvm-1.1a
set  WORK_HOME  D:/UVM_PROJECT
# D:/UVM_PROJECT
vlog +incdir+$UVM_HOME/src  -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF $UVM_HOME/src/uvm_pkg.sv  $WORK_HOME/helloworld.sv
# Model Technology ModelSim SE vlog 10.1a Compiler 2012.02 Feb 22 2012
# -- Compiling package uvm_pkg (uvm-1.1a Built-in)
# ** Warning: D:/modelsim/verilog_src/uvm-1.1a/src/uvm_pkg.sv(29): (vlog-2275) 'uvm_pkg' already exists and will be overwritten.
#
# -- Compiling package uvm_pkg (uvm-1.1a Built-in)
# -- Compiling module hello_world_example
# ** Error: D:/UVM_PROJECT/helloworld.sv(3): Could not find the package (umv_pkg).  Design read will continue, but expect a cascade of errors after this failure.  Furthermore if you experience a vopt-7 error immediately before this error then please check the package names or the library search paths on the command line.
# D:/modelsim/win32/vlog failed.


这是我的helloworld.sv文件
`include "uvm_pkg.sv"
module hello_world_example;
   import umv_pkg::*;
   `include "uvm_macros.svh"
    initial begin
     `uvm_info("info1","Hello UVM!",UVM_LOW)
end
endmodule: hello_world_example

发表于 2019-7-16 09:27:31 | 显示全部楼层
先查一下你路径里面的文件是不是正确的,一共三个指令,三个地址,对着看一遍呗
发表于 2019-7-19 21:31:35 | 显示全部楼层
不明白,帮顶
发表于 2019-8-1 10:55:23 | 显示全部楼层
加油
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 20:52 , Processed in 0.019988 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表