在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1182|回复: 0

vcs后仿出错

[复制链接]
发表于 2019-6-5 14:37:52 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
用vcs做后仿出现以下错误:Chronologic VCS simulator copyright 1991-2014
Contains Synopsys proprietary information.
Compiler version I-2014.03; Runtime version I-2014.03;  Jun  4 15:56 2019
VCD+ Writer I-2014.03 Copyright (c) 1991-2014 by Synopsys Inc.
The file '/home/IC/Desktop/EDA/post_sim/vcs_post_sim/inter.vpd' was opened successfully.
20000000 ps

"smic18.v", 8751: Timing violation in calendar_tb.U.\week_reg[2]
    $hold( posedge SN:1000, posedge RN:1000, limit: 1000 );

"smic18.v", 9621: Timing violation in calendar_tb.U.min_carry_reg
    $setuphold( posedge CK &&& (flag == 1'b1):5000, posedge E:5000, limits: (1000,500) );

"smic18.v", 7679: Timing violation in calendar_tb.U.day_carry_reg
    $setuphold( posedge CK:1004000, posedge RN:1004000, limits: (1000,500) );


"smic18.v", 8751: Timing violation in calendar_tb.U.\year_reg[3]
    $hold( posedge SN:8013000, posedge RN:8013000, limit: 1000 );

"smic18.v", 8751: Timing violation in calendar_tb.U.\year_reg[0]
    $hold( posedge SN:8013000, posedge RN:8013000, limit: 1000 );


这些违反怎么理解啊?还有怎么修改啊?新人求教!!!先谢谢了


您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 21:11 , Processed in 0.026222 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表