在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1361|回复: 2

[讨论] 关于DC中DFT的时序问题

[复制链接]
发表于 2019-6-2 11:05:59 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
DC做完function的综合后输出ddc,在此ddc基础上插入scan,做function时定义了SCAN_CLK但是把bypass信号set_case_analysis成0,即只检查function时钟下的timing。
首先读入相关的db建立环境;
然后读入function的ddc文件:
read_ddc xxx
current_design chip_top
link
设置DFT的test protocol:
set_dft_signal xxx SCAN_CLK
set_dft_signal xxx Reset
set_dft_signal xxx SI/SO/SE
等变量,
insert_dft

compile -incr -scan

write -o xxx等文件

按我的理解,SCAN_CLK此时应该只检查SCAN路径上的时序,timing报告中SCAN_CLK应该只驱动由Q->SCD的路径而不应该有Q->D的路径时序检查,但是我的报告中仍然存在SCAN_CLK下的Q->D的路径检查,是哪里的问题?这样正常吗?大家在做DFT的时候也是这样吗?
 楼主| 发表于 2019-6-2 11:06:25 | 显示全部楼层
自己先顶一下
发表于 2019-6-4 17:21:27 | 显示全部楼层
DFT有shift跟capture 兩種mode
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 11:53 , Processed in 0.017735 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表