在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1319|回复: 1

[求助] Verilog-A 中 idt()这个函数如何在DC下计算?

[复制链接]
发表于 2019-5-24 08:07:41 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
最近刚接触verilogA, 想请教下idt()是在时间上进行积分的吗,如何在DC下进行计算的?DC不是没有时间的吗?
下面有个pllLib 库中 鉴相器的例子,请哪位前辈指点下,谢谢!

if (analysis("static")) begin
  reset = `TWO_PI*idt(1e6*(V(ref_freq_Mhz)-V(vco_freq_Mhz)));
  V(duty_cycle) <+ reset/`TWO_PI;
end

 楼主| 发表于 2019-5-24 14:36:56 | 显示全部楼层
顶一下
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 06:11 , Processed in 0.016764 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表