之前也遇到过这个问题,我的版本是2014,
vcd波形的话,在设置之前还加了 一段
initial
begin
$vcdpluson;
end
initial
begin
$dumpfile (*.vcd);
$dumpvars(*,*);
end
fsdb 波形可以直接:initial begin $fsdbDumpfile(); $fsdbDumpvars (); end
还有可能是你的vcs 命令。。
然后还有可能是你写的stop时间。。vcs上是调用 finish,
initial
#XXX $finish;
首答。。。之前遇到这个问题的时候也没注意,后来就解决了