在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1858|回复: 4

[原创] dump 波形,vcs运行到0ns不再向前跑

[复制链接]
发表于 2019-5-7 10:59:38 来自手机 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位大佬,一旦我设置了dump波形(fsdb, vpd),case就跑到0ns再也不向前走了。去掉波形dump,case能正常跑。vcs和verdi都是用的2018,请问这是什么原因呢?
发表于 2019-5-7 17:27:22 | 显示全部楼层
之前也遇到过这个问题,我的版本是2014,
vcd波形的话,在设置之前还加了 一段
initial
   begin
       $vcdpluson;
  end
initial
  begin
     $dumpfile (*.vcd);
     $dumpvars(*,*);
  end
fsdb 波形可以直接:initial begin $fsdbDumpfile(); $fsdbDumpvars (); end
还有可能是你的vcs 命令。。
然后还有可能是你写的stop时间。。vcs上是调用 finish,
initial
   #XXX   $finish;
首答。。。之前遇到这个问题的时候也没注意,后来就解决了
发表于 2019-5-8 16:00:00 | 显示全部楼层
看看你如何使用dump波形函数的,另外再看看log里面是不是有和dump相关的错误阻塞了进程。
 楼主| 发表于 2019-5-8 21:57:13 来自手机 | 显示全部楼层


果子狸哦 发表于 2019-5-7 17:27
之前也遇到过这个问题,我的版本是2014,
vcd波形的话,在设置之前还加了 一段
initial


谢谢,换个小模块就能dump波形了。后面我换了vcs版本,也就可以了。也可能是coverage 打开了,导致的问题。
发表于 2019-5-10 17:58:15 | 显示全部楼层
错误收集+1,哈哈哈,不好意思没有帮上什么忙
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 09:54 , Processed in 0.025480 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表