在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4680|回复: 9

[求助] 过采样ADC的位数怎么确定的?

[复制链接]
发表于 2019-4-20 17:41:24 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
看资料都说多少位的ADC,奈奎斯特型的ADC的位数比较直观,过采样型的ADC位数是怎么算的?比如14位△-∑ADC的14是怎么确定,其内的量化器使用了1个比较器,输出的数应该就2位:1和0。小白一个求指导指导。
发表于 2019-4-20 22:57:21 | 显示全部楼层
14位的14是通过动态范围或者信噪比算出来的吧
发表于 2019-4-21 05:31:34 | 显示全部楼层
decimation 多少就是多少
 楼主| 发表于 2019-4-21 21:44:30 | 显示全部楼层


daxigua179 发表于 2019-4-21 05:31
decimation 多少就是多少


抽取不是为了降频吗?,CIC两级级联第一级抽取4位,第二级抽取16位,那这个sigma delta ADC的位数是按前面的4算还是16算?
发表于 2019-4-22 16:26:21 | 显示全部楼层
多少位指的是有效位数ENOB
 楼主| 发表于 2019-4-22 17:09:53 | 显示全部楼层


malinfa 发表于 2019-4-22 16:26
多少位指的是有效位数ENOB


ENOB=n+0.5log2(OSR),n为量化器的位数,一位的量化通常用比较器做,n=1,OSR=64的话,是不是这个△-∑ADC为4位的ADC,感觉有效位数和多少位ADC的位数不是一个值吧?ADC的位数应该是>=ENOB的吧?不知道是不是这样。
发表于 2019-4-28 14:21:01 | 显示全部楼层


上善若水. 发表于 2019-4-22 17:09
ENOB=n+0.5log2(OSR),n为量化器的位数,一位的量化通常用比较器做,n=1,OSR=64的话,是不是这个△-∑ADC ...


这里的的ENOB=n+0.5log2(OSR)指的是只使用过采样来提高的位数。另外,ADC的位数应该是>=ENOB的吧?是的,ENOB是一个动态的值,跟输入也有关。
 楼主| 发表于 2019-4-28 23:13:08 | 显示全部楼层


malinfa 发表于 2019-4-28 14:21
这里的的ENOB=n+0.5log2(OSR)指的是只使用过采样来提高的位数。另外,ADC的位数应该是>=ENOB的吧?是的, ...


谢谢您,还有个问题请教下,我看了个过采样ADC的电路图,图中标的是14位的,它的量化器是一位的用了个比较器做的,根据ENOB=n+0.5log2(OSR)算的话,是不是ENOB=14,n=1,那么可求出OSR=2的26次方=67108864,
过采样率能达到这么大吗?(我目前所看到的资料中还没见过)

那个图中电路的时钟频率fs=32KHz,输入信号算fb=5Hz的话,根据OSR=fs/2fb这个公式算OSR=3200,
2的11次方位2048,12次方位4096,取OSR为4096,则ENOB=1+0.5log2(OSR)=7
这差的太远了吧,不知道我的思路错哪儿了,还望请教,谢谢
发表于 2019-5-4 14:36:50 | 显示全部楼层


上善若水. 发表于 2019-4-28 23:13
谢谢您,还有个问题请教下,我看了个过采样ADC的电路图,图中标的是14位的,它的量化器是一位的用了个比 ...


不能简单按照ENOB=n+0.5log2(OSR)这个公式计算,这只是过采样带来的ENOB,还应该考虑噪声整形。你这些多去看看硕博论文就知道了
发表于 2020-3-16 21:02:43 | 显示全部楼层
ADC的分辨率(Resolution)和有效位数(ENOB)定义不同,ENOB如何计算都比较清楚,那么SDM的ADC分辨率到底是如何定义的,看了帖子还是没有搞懂,楼主或者各位大侠有科普的吗哈哈感谢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-30 00:02 , Processed in 0.028777 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表