在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1227|回复: 3

[原创] 关于UVM TEST_NAME空格问题的探讨

[复制链接]
发表于 2019-4-15 15:12:17 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我在做UVM调试时,误将+UVM_TESTNAME=test_rd 等号后面多加了一个空格:+UVM_TESTNAME= test_rd.导致运行后直接结束仿真。但采用vcs进行单步调试的时候,居然又能正常运行。哪位大神给我解释下原因?另外,怎么让系统提前给出testname找不到的信息?
发表于 2019-4-16 18:12:08 | 显示全部楼层
这个参数,只有到仿真启动run_test()的时候才会去识别,没法再提前了吧。
发表于 2019-4-17 00:37:47 | 显示全部楼层
我想可以在正常启动case的时候打印出一些信息,这样也可以变相的知道
发表于 2019-4-19 10:09:54 | 显示全部楼层
vcs仿真启动的第一时间就会报这个错误,没法再提前了,除非你用脚本把vcs的命令再包一层。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 08:31 , Processed in 0.019091 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表