在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2304|回复: 6

[求助] 虚拟时钟设置

[复制链接]
发表于 2019-3-29 20:05:13 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问下用虚拟时钟约束的时候,我随便起个名字clk_FPGA_virt,tcl命令是这样子写
create_clock -name clk_fpga_virt -period 3

还是需要后面写上port端口
create_clock -name clk_fpga_virt -period 3   [get_ports {clk_fpga}]     //clk_fpga是我写的一个input时钟
可是我这样子timing工具会ignore掉这条命令,这是为什么呢?
我可否不写端口?不写端口创建时钟clk_fpga_virt这样子,是否是所谓的虚拟时钟可否用于set_input_delay约束
如果有人知道,请教各位,谢谢谢谢

发表于 2019-3-29 20:18:12 | 显示全部楼层
不是这样写
发表于 2019-3-29 21:25:11 | 显示全部楼层
Generally we use virtual clock if there is no physical clock inside the subsystem/chip. For timing sake, we just specify period ignoring port
发表于 2019-3-29 22:47:35 | 显示全部楼层
virtual clocks are clocks with no ports/pins specified.
 楼主| 发表于 2019-3-29 23:13:29 | 显示全部楼层


请问那应该是怎么写的?这个是我用gui生成的tcl命令,谢谢

 楼主| 发表于 2019-3-29 23:14:14 | 显示全部楼层


zsftm 发表于 2019-3-29 22:47
virtual clocks are clocks with no ports/pins specified.


谢谢

 楼主| 发表于 2019-3-29 23:15:31 | 显示全部楼层


Prasath 发表于 2019-3-29 21:25
Generally we use virtual clock if there is no physical clock inside the subsystem/chip. For timing s ...


谢谢谢谢

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 21:40 , Processed in 0.022703 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表