在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2163|回复: 1

[求助] 后仿问题求助

[复制链接]
发表于 2019-3-15 22:40:47 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 fimwest 于 2019-3-16 20:49 编辑

write sdf的时候-include {SETUPHOLD RECREM}了,后仿时报waining:Unable to annotate to non-existent timing check(RECREM (posedge CDN) (COND D_SDFCHK (posedge CP)......,查找库里对应的单元发现有如下的定义。请问有大虾知道在后仿时是否要define NTC和RECREM这两个参数吗? NTC是negtive timing check还是no timing check?



  1.   `ifdef TETRAMAX
  2.   `else
  3.   specify
  4.     if (CP == 1'b1 && D == 1'b1)
  5.     (negedge CDN => (Q+:1'b0)) = (0, 0);
  6.     if (CP == 1'b1 && D == 1'b0)
  7.     (negedge CDN => (Q+:1'b0)) = (0, 0);
  8.     if (CP == 1'b0 && D == 1'b1)
  9.     (negedge CDN => (Q+:1'b0)) = (0, 0);
  10.     if (CP == 1'b0 && D == 1'b0)
  11.     (negedge CDN => (Q+:1'b0)) = (0, 0);
  12.     ifnone (negedge CDN => (Q+:1'b0)) = (0, 0);
  13.     (posedge CP => (Q+)) = (0, 0);
  14.     $width (negedge CDN, 0, 0, notifier);
  15.     $width (posedge CP &&& CP_DEFCHK, 0, 0, notifier);
  16.     $width (negedge CP &&& CP_DEFCHK, 0, 0, notifier);
  17.   `ifdef NTC
  18.     `ifdef RECREM
  19.       $setuphold (posedge CP &&& D_DEFCHK, posedge D, 0, 0, notifier,,, CP_d, D_d);
  20.       $setuphold (posedge CP &&& D_DEFCHK, negedge D, 0, 0, notifier,,, CP_d, D_d);
  21.       $recrem (posedge CDN, posedge CP, 0,0, notifier, , , CDN_d, CP_d);
  22.     `else
  23.       $setuphold (posedge CP &&& D_DEFCHK, posedge D, 0, 0, notifier,,, CP_d, D_d);
  24.       $setuphold (posedge CP &&& D_DEFCHK, negedge D, 0, 0, notifier,,, CP_d, D_d);
  25.       $recovery (posedge CDN, posedge CP, 0, notifier);
  26.       $hold (posedge CP, posedge CDN, 0, notifier);
  27.     `endif
  28.   `else
  29.     $setuphold (posedge CP &&& D_DEFCHK, posedge D, 0, 0, notifier);
  30.     $setuphold (posedge CP &&& D_DEFCHK, negedge D, 0, 0, notifier);
  31.     $recovery (posedge CDN, posedge CP, 0, notifier);
  32.     $hold (posedge CP, posedge CDN, 0, notifier);
  33.   `endif
  34.   endspecify


复制代码
发表于 2020-4-3 13:45:08 | 显示全部楼层
我也遇到这个问题 楼主解决了吗
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 09:39 , Processed in 0.015136 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表