在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4336|回复: 5

[求助] vcs编译system verilog 出现了一个问题

[复制链接]
发表于 2019-1-6 01:00:23 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
System verilog  keyword 'endpackage' is not expected to be used in this    context.
10.png

11.png



不知道是什么原因
发表于 2019-1-6 11:22:12 | 显示全部楼层
说明include进来的文件有问题,可能是少了endclass/end/endtask/endfunction
 楼主| 发表于 2019-1-6 13:54:28 | 显示全部楼层
回复 2# e_epsh 谢谢
发表于 2023-8-1 11:07:32 | 显示全部楼层


e_epsh 发表于 2019-1-6 11:22
说明include进来的文件有问题,可能是少了endclass/end/endtask/endfunction


强!!!!傻看了半天,一点通

发表于 2023-9-7 08:26:33 | 显示全部楼层


e_epsh 发表于 2019-1-6 11:22
说明include进来的文件有问题,可能是少了endclass/end/endtask/endfunction


强 懵比的看了半天
发表于 2023-9-10 20:49:46 | 显示全部楼层
最后一个`include文件可能有问题
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 19:21 , Processed in 0.024018 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表