在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1667|回复: 0

[求助] Xilinx IP simulation question

[复制链接]
发表于 2018-10-11 14:24:32 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

Hi all:

    i use Xilinx IP ROM and  function simulation, the simulation result delay 0.1ns???

Romread_tb.v

module Romread_tb(

output wire [31:0] hrdata

);

reg             clk;

reg     [7:0]   rd_addr;

wire    [7:0]   rd_data1;

wire    [7:0]   rd_data2;

wire    [7:0]   rd_data3;

wire    [7:0]   rd_data4;


initial begin

    clk     =       0;

    rd_addr <=      0;

       #1   clk     <=   1;

       #1   rd_addr <=  rd_addr + 1'b1;

            clk     <=   0;

       #1   clk     <=   1;

       #1   rd_addr <=  rd_addr + 1'b1;

            clk     <=   0;                 

       #1   clk     <=   1;

       #1   rd_addr <=  rd_addr + 1'b1;

            clk     <=   0;                  

       #1   clk     <=   1;

       #1   rd_addr <=  rd_addr + 1'b1;

            clk     <=   0;

end

Romread     rom_top_inst(

            .clk        (clk        ),

            .rd_addr    (rd_addr    ),

            .rd_data1    (rd_data1   ),

            .rd_data2    (rd_data2    ),

            .rd_data3    (rd_data3    ),

            .rd_data4    (rd_data4    )           

);

assign hrdata = {rd_data4,rd_data3,rd_data2,rd_data1};   

endmodule


Romread.v

`timescale 1ns / 1ps

module Romread(

    input       wire            clk,

           input       wire    [7:0]   rd_addr,

           output      wire    [7:0]   rd_data1,

           output      wire    [7:0]   rd_data2,

           output      wire    [7:0]   rd_data3,

           output      wire    [7:0]   rd_data4 ,     

output wire [31:0] hrdata           

);

wire    [7:0]   rd_data1;

wire    [7:0]   rd_data2;

wire    [7:0]   rd_data3;

wire    [7:0]   rd_data4;

test_rom test1 (

  .a(rd_addr),

  .clk(clk),

  .spo(rd_data1)

);

test_rom2 test2 (

  .a(rd_addr),

  .clk(clk),

  .spo(rd_data2)

);

test_rom3 test3 (

  .a(rd_addr),

  .clk(clk),

  .spo(rd_data3)

);

test_rom4 test4 (

  .a(rd_addr),

  .clk(clk),

  .spo(rd_data4)

);

endmodule


Xilinx IP ROM setting below:





Xilinx_ip_rom_2.png



Xilinx_ip_rom_3.png



rom0_8bit.coe file:

MEMORY_INITIALIZATION_RADIX=16;
MEMORY_INITIALIZATION_VECTOR=
68,
09,
11,
13,
00,
00,
00,
00,
00,
00,
00,
15;


Simulation result:

result.png


i run behavioral simulation have output delay 0.1ns??? somebody knows why, somebody help? thanks!

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 17:07 , Processed in 0.015562 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表