在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4244|回复: 4

[求助] modelsim编译vivado仿真库出错

[复制链接]
发表于 2018-8-17 17:53:35 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在vivado的tools→compile simulation libraries...后,开始编译仿真库,结束后在设置的路径查看报告.cxl.stat,发现secureip,vhdl=pass,verilog=fail。用modelsim仿真某些ip时出现编译不了的情况,请问有人遇到这个问题吗
 楼主| 发表于 2018-8-17 17:55:14 | 显示全部楼层
secureip,vhdl=pass,verilog=fail   
verilog是失败的,对verilog生成的ip也仿真失败
发表于 2018-8-18 09:49:59 | 显示全部楼层
应该是你modelsim和vivado的版本不匹配
发表于 2018-8-18 21:37:07 | 显示全部楼层
请问怎么看modelsim跟vivado版本是否匹配?我遇到的问题是位宽不同的双口ram仿真波形会异常。
发表于 2018-8-18 22:57:31 | 显示全部楼层
https://www.xilinx.com/support/d ... install-license.pdf

修改你vivado的版本,在pdf里找版本信息
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 22:18 , Processed in 0.021096 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表