在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1961|回复: 4

[求助] 请教一个UVM的问题

[复制链接]
发表于 2018-7-18 19:48:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问各位高手,我现在向在sequence中调用test case 的task 大家有什么方法吗?先行谢过大家
发表于 2018-7-19 11:05:31 | 显示全部楼层
sequence声明一个test句柄,test调用sequence之前把自己传给句柄。
发表于 2018-7-19 16:01:21 | 显示全部楼层
楼上的方法可行的,传递句柄可以用configdb进行。当然也可以考虑全局的task,但是不推荐啊。实际上在seq中调用tc的task就不是一个好的选择
发表于 2018-7-19 22:12:34 | 显示全部楼层
用UVM callback
发表于 2018-8-7 14:23:28 | 显示全部楼层
我也觉得在seq里面调用test的方法本就不推荐,callback比较方便些
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 15:53 , Processed in 0.019702 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表