在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3170|回复: 4

[求助] 关于systemverilog中 iff 的用法问题

[复制链接]
发表于 2018-6-11 14:51:44 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 kimisdream 于 2018-6-11 17:41 编辑

file:///C:\Users\ADMINI~1\AppData\Local\Temp\SGPicFaceTpBq\10364\0135A930.giffile:///C:\Users\ADMINI~1\AppData\Local\Temp\SGPicFaceTpBq\10364\0135A930.gif@(rtr_io.cb iff(rtr_io.cb.frameo_n[da] == 0 ));



想知道上面这句的作用是什么?本语句出处是SVTB lab  2016的solution中lab3的源代码,在第144行。


菜鸟我搜很久都没找到对于iff的比较好的解释。
求大神解答!!!感谢!!!
发表于 2018-6-11 21:04:54 | 显示全部楼层
iff的解释可以看IEEE的std,单纯说你的这个语句为何要这样写:
@表示阻塞,那么也就是只有括号里的语句为真是才阻塞到,从例子的code来看,只有在rtr_io.cb.frameo_n[da] == 0且 clock上升沿时才会使仿真器产生响应,从逻辑上看上面的code与如下code的功能是一样的。
@(rtr_io.cb) begin
    if(rtr_io.cb.frameo_n[da] == 0)

但是第二种写法,仿真器会在每个clock的上升沿都响应一次,然后再去看rtr_io.cb.frameo_n[da] == 0是否满足。
因此例子中的写法有助于提升仿真效率
 楼主| 发表于 2018-6-12 09:52:00 | 显示全部楼层
回复 2# shaoqingtju


   明白了,非常感谢!!!
发表于 2018-8-7 15:18:54 | 显示全部楼层
iff就是if and only if
发表于 2018-8-18 13:28:32 | 显示全部楼层
if = if and only if
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 04:45 , Processed in 0.024938 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表