在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2552|回复: 0

[原创] 勇敢的芯Altera FPGA72:RTL Viewer、State Machine Viewer与Technology Map Viewer

[复制链接]
发表于 2018-5-21 20:54:49 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
勇敢的芯伴你玩转Altera FPGA连载72RTL Viewer
State Machine ViewerTechnology MapViewer

特权同学,版权所有

配套例程和更多资料下载链接:

http://pan.baidu.com/s/1i5LMUUD

1.jpg

RTL Viewer


这里首先和大家阐释一下verilog代码在编译器中是如何一步一步实现到最终的FPGA器件电路中的。设计者先编写RTL级代码(VerilogVhdl)来描述自己需要实现的功能;然后在EDA工具中对其进行综合,RTL级的代码就被转换为逻辑电路,就如与、或、非等一大堆门电路的各种组合;最后这些逻辑电路通过映射转换到特定的FPGA器件中实现,这个步骤通常我们称之为布局布线。整个的过程如图8.89所示。

2.jpg

8.89 FPGA代码编译过程


RTL级的代码我们都很容易查看,好说歹说也是我们从别人的工程copy过来的(一般是自己写的),而逻辑电路是否有地方可以一窥?当然有,Quartus II工具中提供了RTL viewer供用户查看,此外,我们上一节的状态机也是能够查看到;布局布线后的结果,即我们的代码在FPGA器件中的最终效果也可以通过Quartus II中的Technology Map Viewer中进行查看。


打开实例工程cy4ex10,如图8.90所示,在我们的“Task à Compilation”中,在展开“Compile Design à Analysis & Synthesis à Netlist Viewers”后,可以双击“RTLViewer”选项。

4.jpg

8.90 RTLViewer编译菜单


随后弹出如图8.91所示的RTL Viwer界面。这里的绿色矩形寄存器框可以继续双击查看。

3.jpg

8.91 RTLViewer界面

State Machine Viewer

如图8.92所示,在我们的“Task à Compilation”中,在展开“CompileDesign à Analysis & Synthesis àNetlist Viewers”后,可以双击“State MachineViewer”选项。

5.jpg

8.92 StateMachine Viewer编译菜单


随后弹出如图8.93所示的State Machine Viewer界面。

6.jpg

8.93 State Machine Viewer界面

Technology Map Viewer

如图8.94所示,在我们的“Task à Compilation”中,在展开“CompileDesign à Analysis & Synthesis àNetlist Viewers”后,可以双击“Technology MapViewer”选项。

7.jpg

8.94 Technology Map Viewer编译菜单


随后弹出如图8.95所示的Technology Map Viewer界面。

8.jpg

8.95 Technology Map Viewer界面

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 14:53 , Processed in 0.017627 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表