在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3233|回复: 3

[求助] systemverilog里一个冒号表示啥意思 ?

[复制链接]
发表于 2018-5-9 12:20:19 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 american007 于 2018-5-9 12:22 编辑

下面代码中  :make_stimulus ,而且两个函数里都有,  这句表示啥啥意思?
function void build_phase(uvm_phase phase);

   if(!uvm_config_db #(tinyalu_agent_config)::get(this, "","config",
                                                   tinyalu_agent_config_h))
    `uvm_fatal("AGENT", "Failed to get config object");   
   is_active = tinyalu_agent_config_h.get_is_active();

   if (get_is_active() == UVM_ACTIVE) begin                                  : make_stimulus
      command_f = new("command_f", this);
      tester_h    = tester::type_id::create( "tester_h",this);
      driver_h    = driver::type_id::create("driver_h",this);
   end

   command_monitor_h = command_monitor::type_id::create("command_monitor_h",this);
   result_monitor_h  = result_monitor::type_id::create("result_monitor_h",this);
   
   coverage_h = coverage::type_id::create("coverage_h",this);
   scoreboard_h = scoreboard::type_id::create("scoreboard_h",this);

   cmd_mon_ap = new("cmd_mon_ap",this);
   result_ap  = new("result_ap", this);

endfunction : build_phase

function void connect_phase(uvm_phase phase);
   if (get_is_active() == UVM_ACTIVE) begin                                   :make_stimulus
      driver_h.command_port.connect(command_f.get_export);
      tester_h.command_port.connect(command_f.put_export);
   end
      
   command_monitor_h.ap.connect(cmd_mon_ap);
   result_monitor_h.ap.connect(result_ap);

   command_monitor_h.ap.connect(scoreboard_h.cmd_f.analysis_export);
   command_monitor_h.ap.connect(coverage_h.analysis_export);
   result_monitor_h.ap.connect(scoreboard_h.analysis_export);

endfunction : connect_phase
发表于 2018-5-9 16:30:08 | 显示全部楼层
annotations
 楼主| 发表于 2018-5-10 07:34:39 | 显示全部楼层
是不是就是一个标号 ?
发表于 2018-5-11 09:29:52 | 显示全部楼层
给begin...end取一个名字
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 08:12 , Processed in 0.022945 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表