在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2951|回复: 4

[讨论] min_pulse_width 会有什么隐患?

[复制链接]
发表于 2018-4-26 11:01:30 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
为什么要 check min_pulse_width ?
发表于 2018-4-26 11:19:17 | 显示全部楼层
个人理解:register一般为主从两部分结构,一个为上升沿触发另一个为下降沿触发,这两部分的触发时间间隔即为时钟的脉冲宽度。如果脉冲宽度过小,主从结构的register可能不能按照正常情况采到数据,所以min_pulse_width这个指标跟register的设计结构有关,在这个register的lib库中定义。
发表于 2018-5-3 22:56:23 | 显示全部楼层
器件对于时钟脉冲宽度是由要求的,达不到要求则无法可靠工作。对于Mem尤为明显,Std cell相对容易满足。时钟路径长时,考虑OCV影响,时钟pulse 属性会更加恶劣
发表于 2018-5-14 09:05:36 | 显示全部楼层
学习一下。
发表于 2018-5-14 10:48:28 | 显示全部楼层
mpw需不需要加额外的de-rating?现在的timing signoff中是没有这一部分margin的。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 03:28 , Processed in 0.020253 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表