在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1772|回复: 1

[求助] vcs怎么使用自定义的库

[复制链接]
发表于 2018-3-22 20:52:24 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在编译的一个file.vhd文件里有code:     use <user_library>:<library_component>.all
在sysnopsys_sim.setup里有library的map
在编译的时候提示:
        The symbol named 'library_component' cannot be found in library 'user_library'

编译命令是:
vhdlan -nc -l vhdl.log file.vhd

求助这个问题怎么解决?
发表于 2018-3-22 22:07:48 | 显示全部楼层
好像是vhdlan -w memlib ...
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 08:08 , Processed in 0.016972 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表