在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
芯片精品文章合集(500篇!)    创芯人才网--重磅上线啦!
查看: 1847|回复: 0

[招聘] 北京上海IC AI 职位

[复制链接]
发表于 2018-2-28 20:21:16 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
北京 海淀区  特种通信芯片,无人机类项目 ,  数字架构  芯片设计,芯片验证   数字后端
北京海淀区  AI芯片  数字设计   数字验证  DFT
北京五道口附近  数字设计(一个资深,两个资浅 usb3.0 )  数字验证 3-5年 USB3.0


上海 张江 AI 芯片   数字设计  数字验证
上海 张江  车载多媒体 SOC芯片设计
上海 张江 AI芯片  智能眼镜  soc 集成设计  芯片验证  数字后端


看机会请投递简历lynn.zhang@engageway.com或者加微信 15906668955 沟通下



芯片架构工程师
岗位职责:
1、 负责超大规模通用SOC芯片的架构设计与性能分析。
2、 负责SOC系统设计及软硬件性能持续优化。
3、 熟练掌握SOC设计流程及方法学。
4、 指导芯片级验证工作。
岗位要求:
1、 计算机体系架构、电子工程、微电子、通信类等相关专业硕士以及以上学历,5年以上工作经验。
2、 有成功流片经验及系统设计经验;
3、 具有较强的沟通能力和团队意识。
芯片算法工程师
岗位职责:
研究芯片系统算法(通信、信号处理、图像处理等)及定点实现;
承担数字SOC芯片通信子系统的软硬件划分,性能分析等相关工作;
承担***及加速算子(如FFT, LDPC, Turbo, Viterb等)的规格拟定及硬件设计实现工作。
岗位要求:
1.通信工程、电子工程、集成电路、微电子、计算机等相关专业,硕士及以上学历,5年以上工作经验。
2.熟练掌握数字电路设计方法;对跳频通信系统, LTE通信系统,信号处理,导航等系统有一定的了解或从事过部分算法的开发。
3.熟练使用verilog,Matlab,C语言等工具
4.正直诚信,有责任心和团队合作精神
5.具有良好的英语阅读能力和撰写能力
芯片验证工程师
岗位职责:
1、 负责SOC芯片模块、子系统和系统的验证工作。
2、 负责SOC芯片的集成验证。
3、 负责SOC芯片的数据通路验证、场景验证和性能验证。
4、 负责输出验证报告及相关模块的用户手册。
岗位要求:
1、电子工程、微电子、通信类等相关专业硕士及以上学历;
2、有5年以上工作经验,具备成功的芯片开发经验;
3、较强的沟通能力和团队组织协调能力。
芯片设计工程师   
主要职责:
1、 负责SOC模块设计及RTL实现。
2、 参与SOC芯片的子系统及系统的顶层集成。
3、 参与数字SOC芯片模块级的前端实现,包括DC,PT,Formality,DFT(可测)设计,低功耗设计等。
4、 负责数字电路设计相关的技术节点检查。
5、 精通TCL或Perl脚本语言优先。
岗位要求:
1、电子工程类、微电子类相关专业硕士研究生以上学历;5年以上工作经验,具有成功芯片流片经验优先;
2、具备较强的沟通能力和团队合作意识。
芯片前端工程师
岗位职责:
1、 负责数字SOC芯片的前端实现,包括DC,PT,Formality,DFT(可测)设计,低功耗设计等。
2、 负责timing signoff和low power flow;
3、 精通TCL或Perl脚本语言。
岗位要求:
1、 硕士及以上学历;4年以上相关工作经验,有SOC成功流片经验;
2、 具备较强的沟通能力和团队合作的精神,较强组织协调能力。
芯片后端设计工程师
岗位职责:
1、 负责建立及完善后端物理实现的开发流程。
2、 负责SOC芯片的物理实现,包括由门级网表到GDS,及相关的物理验证(DRC/LVS/ERC/Antenna/DFM等)。
3、 与设计及前端实现团队一起解决相关后端布局,CTS,STA,时序,布线拥塞,SI/PI等问题。
4、 精通TCL或perl脚本语言。
岗位要求:
1、 硕士及以上学历;5年以上相关工作经验,至少一次SOC成功流片经验;
2、 具备较强的团队合作意识和沟通能力。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

关闭

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 03:31 , Processed in 0.015697 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表