在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5376|回复: 2

[求助] 请教 BEGIN - END required around task/function statements 这是什么错误?

[复制链接]
发表于 2018-2-6 23:39:54 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本来想写个最简单的用例,通过 task 验证一下别的问题,结果用Modelsim编译的时候总是有这个问题,百度后没有什么有用的信息

烦请各位帮我看下问题出在哪里呀? 谢谢啦!







  1. `timescale 1ns/1ns

  2. module task_test_tb ;

  3. reg               i_clk   = 0 ;
  4. reg               i_rst_n = 0 ;
  5. reg     [15:0]    i_data  = 0 ;
  6. wire    [15:0]    o_data      ;

  7. task_test    DUT
  8. (
  9.     .i_clk    ( i_clk   ) ,
  10.     .i_rst_n  ( i_rst_n ) ,
  11.     .i_data   ( i_data  ) ,
  12.     .o_data   ( o_data  )
  13. );

  14. localparam PERIOD = 10 ;

  15. always #(PERIOD/2) i_clk = !i_clk ;

  16. initial
  17. begin
  18.     #(PERIOD*10)  i_rst_n = 1'b1 ;
  19.     #(PERIOD*1000) $finish;
  20. end

  21. always @ ( posedge i_clk or negedge i_rst_n )
  22. begin
  23.     if ( !i_rst_n )
  24.         i_data <= 16'd0 ;
  25.     else
  26.         i_data <= $random ;
  27. end

  28. ///initial
  29. ///begin
  30. ///    DOUT;
  31. ///end

  32. task DOUT;

  33.     integer fid0;
  34.     integer iter_data;

  35.     fid0 = $fopen("tc101_dout.txt","w");
  36. //    @( posedge i_rst_n )
  37. //    for( iter_data = 0 ; iter_data < 20 ; iter_data = iter_data + 1 )
  38. //    begin
  39. //        @( negedge i_clk ) $fwrite(fid0,"%x\n",DUT.s_data);
  40. //    end
  41.     repeat(20)
  42.     begin
  43.         @ ( negedge i_clk ) ; $fwrite(fid0,"%x\n",DUT.s_data);
  44.     end
  45. endtask

  46. endmodule


复制代码




                               
登录/注册后可看大图
发表于 2018-2-14 15:48:02 | 显示全部楼层
verilog的话,印象中task内需要用begin end括起来,信号定义在begin前面
发表于 2018-2-14 21:50:11 | 显示全部楼层
v95确实要,好像v2k已经不需要了。好像有个-v2k的option.
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 05:46 , Processed in 0.018081 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表