在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2015|回复: 1

[原创] 勇敢的芯伴你玩转Altera FPGA连载47:基于仿真的第一个工程实例之调用Modelsim仿真

[复制链接]
发表于 2018-2-5 15:40:04 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
勇敢的芯伴你玩转Altera FPGA连载47:基于仿真的第一个工程实例之调用Modelsim仿真

特权同学,版权所有

配套例程和更多资料下载链接:

http://pan.baidu.com/s/1i5LMUUD

1.jpg



仿真测试的所有准备工作就绪了,下面我们就可以一键完成仿真工作。如图6.18所示,点击菜单栏的“ToolsàRun Simulation ToolàRTL Simulation”。

2.jpg

6.18 运行仿真菜单

随后ModelSim-Altera便启动,如图6.19所示,这是ModelSim-Altera软件的工作界面。

3.jpg

6.19 波形界面


弹出Modelsim后,如图6.20所示,我们可以打开Wave查看,同时点击右上角的“Zoom Full”按钮,整个有效的波形将展开显示。Modelsim的使用并不难,大家要多动手,所有的菜单按钮都简单易懂,有些地方右键菜单也有很多功能,如果这里一一介绍恐怕需要一本书,所以大家自动动手,贵在尝试,很快就会上手。

4.jpg

6.20 仿真波形


如图6.21所示,这便是我们设计的二分频效果。

5.jpg

6.21 放大的仿真波形


怎么样,通过这个简单的工程,大家是不是掌握了使用Quartus II进行工程创建、设计文本创建和编辑、测试脚本创建和编辑、使用Modelsim进行仿真等基本的技能。当然了,这只是刚刚把您领进门,让您熟悉一下工具的一些基本操作。这个例程就到这里,我们不往下进行板级的实验,大家继续跟着教程往下,该掌握的都会掌握滴。






发表于 2018-2-5 23:21:11 | 显示全部楼层
新手必备!!!!!!!!!!!!!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-23 19:14 , Processed in 0.018030 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表