在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5137|回复: 7

[原创] 跑前仿真报错

[复制链接]
发表于 2018-2-3 15:37:09 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
ncvlog: *E,EOFICD (/home/xx/xx/xx/xx/xx/xx/xx/DBH_1533IL11SG_GE1P5V.v,13650|12): EOF found with `ifdef (@ /home/xx/xx/xx/xx/xx/xx/xx/psc011_510t_small_lib_v4p3_slow.v, 2997|6) compiler directive [16.4(IEEE)].         Total errors/warnings found outside module and primitives:
                   errors: 1, warnings: 3


DBH_1533IL11SG_GE1P5V.v 的13601-13650行:


primitive udp_sedfft (out, in, clk, clr_, si, se, en, NOTIFIER);
   output out;
   input  in, clk, clr_, si, se,  en, NOTIFIER;
`protect
   reg    out;

   table
   // in  clk  clr_  si  se  en  NOT : Qt : Qt+1
      ?    ?    ?     ?   ?   ?   *  : ?  :  x;
      ?    r    ?     0   1   ?   ?  : ?  :  0;
      ?    r    ?     1   1   ?   ?  : ?  :  1;
      ?    b    ?     ?   *   ?   ?  : ?  :  -;
      ?    b    ?     *   ?   ?   ?  : ?  :  -;
      *    b    ?     ?   ?   ?   ?  : ?  :  -;
      ?    b    ?     ?   ?   *   ?  : ?  :  -;
      ?    b    *     ?   ?   ?   ?  : ?  :  -;
      0    r    ?     0   ?   1   ?  : ?  :  0 ;
      1    r    1     1   ?   1   ?  : ?  :  1 ;
      ?    r    ?     0   ?   0   ?  : 0  :  0;
      ?    x    ?     0   ?   0   ?  : 0  :  0;
      ?    r    1     1   ?   0   ?  : 1  :  1;
      ?    x    1     1   ?   0   ?  : 1  :  1;
      ?    *    1     ?   0   0   ?  : ?  :  -;
      ?    *    ?     1   1   ?   ?  : 1  :  1;
      1    *    1     1   ?   ?   ?  : 1  :  1;
      ?    *    ?     0   1   ?   ?  : 0  :  0;
      ?    *    0     0   ?   ?   ?  : 0  :  0;
      0    *    ?     0   ?   ?   ?  : 0  :  0;
      ?    x    1     ?   0   0   ?  : ?  :  -;
      ?    *    ?     ?   0   0   ?  : 0  :  0;
      ?    x    ?     ?   0   0   ?  : 0  :  0;
      ?    x    ?     1   1   ?   ?  : 1  :  1;
      1    x    1     1   ?   ?   ?  : 1  :  1;
      ?    x    ?     0   1   ?   ?  : 0  :  0;
      ?    x    0     0   ?   ?   ?  : 0  :  0;
      0    x    ?     0   ?   ?   ?  : 0  :  0;
      ?    r    0     0   ?   ?   ?  : ?  :  0 ;
      ?   (?0)  ?     ?   ?   ?   ?  : ?  :  -;
      1    r    1     ?   0   1   ?  : ?  :  1;
      0    r    ?     ?   0   1   ?  : ?  :  0;
      ?    r    0     ?   0   ?   ?  : ?  :  0;
      ?    x    0     ?   0   ?   ?  : 0  :  0;
      1    x    1     ?   0   ?   ?  : 1  :  1;
      0    x    ?     ?   0   ?   ?  : 0  :  0;
      1    *    1     ?   0   ?   ?  : 1  :  1;
      0    *    ?     ?   0   ?   ?  : 0  :  0;

   endtable
`endprotect
endprimitive


psc011_510t_small_lib_v4p3_slow.v 的2974-2997行

`ifdef _udp_def_altos_latch_sr_1
`else
`define _udp_def_altos_latch_sr_1
primitive altos_latch_sr_1 (q, v, clk, d, s, r);
        output q;
        reg q;
        input v, clk, d, s, r;

        table
                * ? ? ? ? : ? : x;
                ? 1 1 ? 0 : ? : 1;
                ? 1 0 0 ? : ? : 0;
                ? ? ? 1 ? : ? : 1;
                ? ? ? 0 1 : ? : 0;
                ? 0 * ? ? : ? : -;
                ? 0 ? * 0 : 1 : 1;
                ? 0 ? 0 * : 0 : 0;
                ? * 1 ? 0 : 1 : 1;
                ? * 0 0 ? : 0 : 0;
                ? ? 1 * 0 : 1 : 1;
                ? ? 0 0 * : 0 : 0;
        endtable
endprimitive
`endif



哪位仁兄,帮小弟指点一下
发表于 2018-2-4 20:29:20 | 显示全部楼层
太长,帮顶一下
发表于 2018-2-5 16:51:19 | 显示全部楼层
用的是什么仿真器啊?跑前仿真我也不知道是什么意思。
发表于 2018-2-5 17:04:56 | 显示全部楼层
回复 3# qiurijian


   他用的是nc跑的按理foundary提供的东西不应该有错吧找foundary
 楼主| 发表于 2018-2-7 17:13:59 | 显示全部楼层
用的是nc,这两个文件定义在DUT.f (可以综合的rtl文件)文件里,后来发现把这两个文件注释掉,也报类似的问题,报错的文件是定义在DUT.f的最后两个文件
发表于 2018-2-9 08:32:46 | 显示全部楼层
不太懂
发表于 2020-1-13 14:13:09 | 显示全部楼层
解决了吗
发表于 2021-3-3 17:54:17 | 显示全部楼层
本帖最后由 tanghaihua 于 2021-3-3 17:56 编辑

请检查一下报错相关的文件,是不是有`ifdef缺少了对应的`endif,不一定是tool指定的行位置。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 08:43 , Processed in 0.023353 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表