在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2665|回复: 4

[求助] ncelab DPI-C export使用問題

[复制链接]
发表于 2018-1-16 17:19:21 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
以下是sv file and c file
///////helo.c//////////////////
#include <stdio.h>
#include "svdpi.h"

void reportc()
{
printf("Hello World from C! ");
printf("tezhi test! ");
}
///////////////////////////////

///////helo.sv//////////////////
program main();

import "DPI-C" reportc=task reportc();
export "DPI-C" task DPI_waitNs;

initial
begin
  reportc();
end

task DPI_waitNs (input int unsigned ns);
    #(ns);
endtask

endprogram
///////////////////////////////
step1. 我在ncvlog下run了helo.sv,命令如下:
ncvlog -sv hello.sv
編譯此sv file沒有問題。
step2. 我再elaborate the design,命令如下:
ncelab main
執行此步驟卻發生了錯誤
ncelab: *W,DPIEXP: DPI export function in  _sv_export.so not available.
ncelab: *W,DPIEXP: DPI export function in  _sv_export.so not available.
這是export "DPI-C" task DPI_waitNs這行發生了問題,沒辦法export DPI-C,
svdpi.h檔案有在資料夾之中,是缺少了DPI相關檔案嗎?
要如何才能解決這個錯誤??? 20180116_1554.png
发表于 2020-1-12 12:27:39 | 显示全部楼层
两年了,楼主解决这个问题了么?我也有同样的问题,搞不定啊
发表于 2020-1-12 13:34:41 | 显示全部楼层
是不是要加入编译 C 代码的命令
发表于 2020-1-21 20:38:12 来自手机 | 显示全部楼层
用 irun -sv hello.sv hello.c
发表于 2020-1-30 14:56:39 | 显示全部楼层
:):):):):):):):):)
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-3-29 20:52 , Processed in 0.025411 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表