在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2127|回复: 3

[求助] 综合通过,实现出错,经典的两种错误。

[复制链接]
发表于 2018-1-11 21:59:55 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
综合通过了,但是实现出现了错误,还有致命的警告:
捕获.PNG
After a week working this problem the web case engineer concluded that when one builds a core out of context, black box attributes are generated which are to be pasted into the source with the component declaration.  Then when I deselected the out of context selection, I failed to remove the black box attributes from my code.  Nevertheless, the clock wizard put the black box attributes in the vho file whether the clock was built out of context or not.  In that case I just removed the attributes even though they were included in the vho file.  All the critical warnings went away.
一个经典的致命警告,一个是我不懂,另一个是vho文件我都不知道他在哪里。
捕获.PNG
    Solution   
This occurs because the IP is a black box in the design.
The generate_target command in the script above does not generate the DCP checkpoint for the IP core.
As a result, when opt_design is run, the black box in the Synthesis run is not resolved and remains a black box in opt_design.
This means that these errors are valid.
To correctly add the IP core to the design, the IP core needs to be synthesized using the synth_ip command.
See the modified script below with the key line in bold:# created on disk
create_project -in_memory -part xc7k70tfbg676-1 -force my_project
# read an IP customization
read_ip my_core.xci
# Generate all the output products
generate_target all [get_files *my_core.xci]
# Create a DCP for the IP
synth_ip [get_files *my_core.xci]
# Query all the files for this IP (optional)
get_files -all -of_objects [get_files *my_core.xci]
read_vhdl my_top.vhd
synth_design -top my_top
opt_design
一个经典的错误,他提供的方法好复杂,另外都不知道到底是什么情况。

跟black box干起来了,这都是什么错误啊,英文没看太懂。有大神给解释一下吗?
 楼主| 发表于 2018-1-12 11:04:54 | 显示全部楼层
错误已经解决,给大家提个醒吧,少走一些弯路。
是这样的,我不知道大家怎么想,看到贴的两种解决方案,我的感受就是无法接受,过于复杂。

这个问题就是黑盒子的问题,而静下来一想就是FIFO出问题了,果然是,这个IP核是异常的,仅仅可读。为什么会出现这种问题,这是工程移植的问题,两个工程怎么合并到一起呢,一种是一个工程吃掉另一个工程,一种是两个工程被第三个吃掉,这应该具体问题具体分析。

A工程中的FIFO IP核原封不动的加进B工程中时,工程管理文件中是正确显示的,RTL也能正确显示,甚至综合都通过了,但是实现出了问题,说FIFO是黑盒子。两个工程vivado版本一样,在不同的电脑上。

怎么改呢?很简单,原先的FIFO是不可操作的,需要重新生成FIFO,设置跟原先的一样,名字改成不一样的,之后在工程中改改例化的名字就完全可行了。。。
发表于 2018-1-12 13:59:55 | 显示全部楼层
如果是工具产生的IP核,不仅仅添加IP代码就可以用了,应该还需要把对应的配置文件信息什么的也加入工程,我理解是这样的,否则不会你自己重新产生一个一样的IP核就可以用。
发表于 2018-1-12 14:39:39 | 显示全部楼层
只用过ISE,通常只要把xco,如果有coe文件也一起拿过去,重新生成一遍就可以了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-24 02:38 , Processed in 0.020981 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表