在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2371|回复: 3

[求助] vhdl语言 一个连线的问题,port位宽不匹配

[复制链接]
发表于 2018-1-11 16:50:52 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
首先有3个模块A,B,C;
A模块有一个13位的输出A_OUT; 声明一个signal    A_OUT_w   :  std_logic_vector(12 downto 0)连接这个端口。
B模块有一个13位的输入B_IN;
C模块有一个10位的输入C_IN;
A模块的输出同时作为B,C的输入,连线如下:
.....
B_IN=>A_OUT_w;
........

C_IN=>A_OUT_w(9 DOWNTO 0);

报错port位宽不匹配,到底是应该怎样处理呢?
 楼主| 发表于 2018-1-11 17:20:57 | 显示全部楼层
错误找出来了,犯了好几次的错误,这样写是没有错误的。错在哪里呢?我一直用的是Verilog语言,换到vhdl老是要弄一个component来弄一下,结果程序改着改着就忘了这个component。
发表于 2018-1-11 18:22:45 | 显示全部楼层
特别讨厌 vhdl 的代码,  公司vhdl 的老代码, 都是以前延续下来的, 特别恶心,  我今天也被这破玩意搞了半天。
发表于 2018-1-12 14:01:50 | 显示全部楼层
因为VHDL是军方搞出来的,比较死板规范化,呵呵。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-25 01:30 , Processed in 0.025072 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表