在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 13933|回复: 18

[求助] uvm 为什么要import package不用include整个include进来?

[复制链接]
发表于 2018-1-8 18:43:07 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
uvm 为什么要import package不用include整个include进来?有什么区别呢?

我理解在不同的scope下include的东西会导致不同。但是这里只在top Include一次啊。
发表于 2018-1-8 18:55:00 | 显示全部楼层
package定义了命名空间,你package a里面可以有一个class x, package b里面也可以有一个class x
你若不用package直接include的话编译就会报错
 楼主| 发表于 2018-1-8 19:00:36 | 显示全部楼层




    只有uvm一个package。 不存在package b啊。假设我自己要写class,我避免以uvm做开头命名class不就好啦?
 楼主| 发表于 2018-1-9 14:00:40 | 显示全部楼层
我不用import,就在top里include uvm_pkg.sv
然后make的时候也不去make uvm_pkg.sv,直接去make top.sv就可以了。uvm可以顺利运行。如此根本不需要import啊
发表于 2018-1-9 19:29:52 | 显示全部楼层


我不用import,就在top里include uvm_pkg.sv
然后make的时候也不去make uvm_pkg.sv,直接去make top.sv就可 ...
kalwen 发表于 2018-1-9 14:00



你如果不去import uvm_pkg::*你testbench里面的run_test()从什么地方去找?难不成你用uvm_pkg::run_test()?
 楼主| 发表于 2018-1-16 17:26:43 | 显示全部楼层


你如果不去import uvm_pkg::*你testbench里面的run_test()从什么地方去找?难不成你用uvm_pkg::run_tes ...
churchmice 发表于 2018-1-9 19:29




    感谢你的回复。你这样说我有一点理解了。
我使用的run_test 参数是在外面定义的,所以不import也可以run
Command: ./simv +UVM_TESTNAME=my_test -l simv.log +ntb_random_seed=1516095077
发表于 2018-12-6 20:29:22 | 显示全部楼层
在每个agent里建一个package,在package里面include文件,在env里可以用import来导入package,这样看起来比较有层次
发表于 2019-1-26 16:21:00 | 显示全部楼层
get it
发表于 2019-1-31 02:13:19 | 显示全部楼层
学习了
发表于 2019-2-12 23:34:04 | 显示全部楼层
2楼的回复是正解,你的环境小,只有一个pkg,如果做集成呢,一般的dut都会有多个interface,需要很多uvc,多pkg是必然的
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-18 08:33 , Processed in 0.027098 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表