在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1746|回复: 0

[求助] UVM在0时刻停止仿真

[复制链接]
发表于 2018-1-4 14:35:27 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
新学UVM,搭建了一个简单的工程,现在sequence中已经可以raise_objection,执行到uvm_do阶段,然而一直卡在0时刻,不向下执行了,经过打印log信息,发现最后执行到driver main_phase阶段的driver packet函数。如代码所示,while循环中第一行display能打印出来,但是#10或者@(posedge vif.clk)后面的信息却打印不出来。求大神们帮忙看下什么原因?

                               
登录/注册后可看大图
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 02:27 , Processed in 0.017667 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表